Search the dblp DataBase
Jason Cong :
[Publications ]
[Author Rank by year ]
[Co-authors ]
[Prefers ]
[Cites ]
[Cited by ]
Publications of Author
Deming Chen , Jason Cong Register binding and port assignment for multiplexer optimization. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2004, pp:68-73 [Conf ] Deming Chen , Jason Cong , Junjuan Xu Optimal module and voltage assignment for low-power. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2005, pp:850-855 [Conf ] Jason Cong , Yiping Fan , Guoling Han , Yizhou Lin , Junjuan Xu , Zhiru Zhang , Xu Cheng Bitwidth-aware scheduling and binding in high-level synthesis. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2005, pp:856-861 [Conf ] Jason Cong , Ashok Jagannathan , Yuchun Ma , Glenn Reinman , Jie Wei , Yan Zhang An automated design flow for 3D microarchitecture evaluation. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2006, pp:384-389 [Conf ] Jason Cong , Tianming Kong , Faming Liang , Jun S. Liu , Wing Hung Wong , Dongmin Xu Dynamic weighting Monte Carlo for constrained floorplan designs in mixed signal application. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2000, pp:277-282 [Conf ] Jason Cong , Tianming Kong , Dongmin Xu , Faming Liang , Jun S. Liu , Wing Hung Wong Relaxed Simulated Tempering for VLSI Floorplan Designs. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 1999, pp:13-16 [Conf ] Jason Cong , Sung Kyu Lim Edge separability based circuit clustering with application to circuit partitioning. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2000, pp:429-434 [Conf ] Jason Cong , Sung Kyu Lim Performance driven multiway partitioning. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2000, pp:441-446 [Conf ] Jason Cong , Tony Ma , Ivo Bolsens , Phil Moorby , Jan M. Rabaey , John Sanguinetti , Kazutoshi Wakabayashi , Yoshi Watanabe Are we ready for system-level synthesis? [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2005, pp:- [Conf ] Jason Cong , David Zhigang Pan Interconnect Delay Estimation Models for Synthesis and Design Planning. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 1999, pp:97-100 [Conf ] Jason Cong , David Zhigang Pan , Prasanna V. Srinivas Improved crosstalk modeling for noise constrained interconnect optimization. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2001, pp:373-378 [Conf ] Jason Cong , Michail Romesis , Joseph R. Shinnerl Fast floorplanning by look-ahead enabled recursive bipartitioning. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2005, pp:1119-1122 [Conf ] Jason Cong , Songjie Xu Invited talk: synthesis challenges for next-generation high-performance and high-density PLDs. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2000, pp:157-162 [Conf ] Jason Cong , Min Xie A robust detailed placement for mixed-size IC designs. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2006, pp:188-194 [Conf ] Jason Cong , Dongmin Xu Exploitation signal flow and logic dependency in standard cell placement. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 1995, pp:- [Conf ] Jason Cong , Yan Zhang Thermal-driven multilevel routing for 3-D ICs. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2005, pp:121-126 [Conf ] Ashok Jagannathan , Hannah Honghua Yang , Kris Konigsfeld , Dan Milliron , Mosur Mohan , Michail Romesis , Glenn Reinman , Jason Cong Microarchitecture evaluation with floorplanning and interconnect pipelining. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2005, pp:8-15 [Conf ] Maogang Wang , Sung Lim , Jason Cong , Majid Sarrafzadeh Multi-way partitioning using bi-partition heuristics. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2000, pp:667- [Conf ] Jason Cong , Yiping Fan , Guoling Han , Xun Yang , Zhiru Zhang Architecture and synthesis for multi-cycle on-chip communication. [Citation Graph (0, 0)][DBLP ] CODES+ISSS, 2003, pp:77-78 [Conf ] Chin-Chih Chang , Jason Cong An Efficient Approach to Multi-Layer Layer Assignment with Application to Via Minimization. [Citation Graph (0, 0)][DBLP ] DAC, 1997, pp:600-603 [Conf ] Deming Chen , Jason Cong , Yiping Fan , Junjuan Xu Optimality study of resource binding with multi-Vdds. [Citation Graph (0, 0)][DBLP ] DAC, 2006, pp:580-585 [Conf ] Jason Cong , Yuzheng Ding On Area/Depth Trade-off in LUT-Based FPGA Technology Mapping. [Citation Graph (0, 0)][DBLP ] DAC, 1993, pp:213-218 [Conf ] Jason Cong , Yiping Fan , Guoling Han , Wei Jiang , Zhiru Zhang Behavior and communication co-optimization for systems with sequential communication media. [Citation Graph (0, 0)][DBLP ] DAC, 2006, pp:675-678 [Conf ] Jason Cong , Yiping Fan , Zhiru Zhang Architecture-level synthesis for automatic interconnect pipelining. [Citation Graph (0, 0)][DBLP ] DAC, 2004, pp:602-607 [Conf ] Jason Cong , Hui Huang Depth optimal incremental mapping for field programmable gate arrays. [Citation Graph (0, 0)][DBLP ] DAC, 2000, pp:290-293 [Conf ] Jason Cong , Yean-Yow Hwang Structural Gate Decomposition for Depth-Optimal Technology Mapping in LUT-based FPGA Design. [Citation Graph (0, 0)][DBLP ] DAC, 1996, pp:726-729 [Conf ] Jason Cong , Lars W. Hagen , Andrew B. Kahng Net Partitions Yield Better Module Partitions. [Citation Graph (0, 0)][DBLP ] DAC, 1992, pp:47-52 [Conf ] Jason Cong , Lei He , Andrew B. Kahng , David Noice , Nagesh Shirali , Steve H.-C. Yen Analysis and Justification of a Simple, Practical 2 1/2-D Capacitance Extraction Methodology. [Citation Graph (0, 0)][DBLP ] DAC, 1997, pp:627-632 [Conf ] Jason Cong , Yean-Yow Hwang , Songjie Xu Technology Mapping for FPGAs with Nonuniform Pin Delays and Fast Interconnections. [Citation Graph (0, 0)][DBLP ] DAC, 1999, pp:373-378 [Conf ] Jason Cong , Ashok Jagannathan , Glenn Reinman , Michail Romesis Microarchitecture evaluation with physical planning. [Citation Graph (0, 0)][DBLP ] DAC, 2003, pp:32-35 [Conf ] Jason Cong , Zheng Li , Rajive Bagrodia Acyclic Multi-Way Partitioning of Boolean Networks. [Citation Graph (0, 0)][DBLP ] DAC, 1994, pp:670-675 [Conf ] Jason Cong , Sung Kyu Lim , Chang Wu Performance driven multi-level and multiway partitioning with retiming. [Citation Graph (0, 0)][DBLP ] DAC, 2000, pp:274-279 [Conf ] Jason Cong , Honching Li , Chang Wu Simultaneous Circuit Partitioning/Clustering with Retiming for Performance Optimization. [Citation Graph (0, 0)][DBLP ] DAC, 1999, pp:460-465 [Conf ] Jason Cong , Kwok-Shing Leung , Dian Zhou Performance-Driven Interconnect Design Based on Distributed RC Delay Model. [Citation Graph (0, 0)][DBLP ] DAC, 1993, pp:606-611 [Conf ] Jason Cong , Patrick H. Madden Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs. [Citation Graph (0, 0)][DBLP ] DAC, 1998, pp:356-361 [Conf ] Jason Cong , David Zhigang Pan Interconnect Estimation and Dlanning for Deep Submicron Designs. [Citation Graph (0, 0)][DBLP ] DAC, 1999, pp:507-510 [Conf ] Jason Cong , Bryan Preas , C. L. Liu General Models and Algorithms for Over-the-Cell Routing in Standard Cell Design. [Citation Graph (0, 0)][DBLP ] DAC, 1990, pp:709-715 [Conf ] Jason Cong , Michail Romesis Performance-Driven Multi-Level Clustering with Application to Hierarchical FPGA Mapping. [Citation Graph (0, 0)][DBLP ] DAC, 2001, pp:389-394 [Conf ] Jason Cong , M'Lissa Smith A Parallel Bottom-Up Clustering Algorithm with Applications to Circuit Partitioning in VLSI Design. [Citation Graph (0, 0)][DBLP ] DAC, 1993, pp:755-760 [Conf ] Jason Cong , Chang Wu FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits. [Citation Graph (0, 0)][DBLP ] DAC, 1997, pp:644-649 [Conf ] Jason Cong , Chang Wu Optimal FPGA Mapping and Retiming with Efficient Initial State Computation. [Citation Graph (0, 0)][DBLP ] DAC, 1998, pp:330-335 [Conf ] Jason Cong , Songjie Xu Delay-Optimal Technology Mapping for FPGAs with Heterogeneous LUTs. [Citation Graph (0, 0)][DBLP ] DAC, 1998, pp:704-707 [Conf ] Jason Cong , Xin Yuan Routing tree construction under fixed buffer locations. [Citation Graph (0, 0)][DBLP ] DAC, 2000, pp:379-384 [Conf ] Jason Cong , Xin Yuan Multilevel global placement with retiming. [Citation Graph (0, 0)][DBLP ] DAC, 2003, pp:208-213 [Conf ] Jason Cong , Zhiru Zhang An efficient and versatile scheduling algorithm based on SDC formulation. [Citation Graph (0, 0)][DBLP ] DAC, 2006, pp:433-438 [Conf ] Nitin Deo , Behrooz Zahiri , Ivo Bolsens , Jason Cong , Bhusan Gupta , Philip Lopresti , Christopher B. Reynolds , Chris Rowen , Ray Simar What happened to ASIC?: Go (recon)figure? [Citation Graph (0, 0)][DBLP ] DAC, 2004, pp:185- [Conf ] Andrew B. Kahng , Jason Cong , Gabriel Robins High-Performance Clock Routing Based on Recursive Geometric Aatching. [Citation Graph (0, 0)][DBLP ] DAC, 1991, pp:322-327 [Conf ] Kei-Yong Khoo , Jason Cong An Efficient Multilayer MCM Router Based on Four-Via Routing. [Citation Graph (0, 0)][DBLP ] DAC, 1993, pp:590-595 [Conf ] Joey Y. Lin , Deming Chen , Jason Cong Optimal simultaneous mapping and clustering for FPGA delay optimization. [Citation Graph (0, 0)][DBLP ] DAC, 2006, pp:472-477 [Conf ] Khe-Sing The , D. F. Wong , Jason Cong VIA Minimization by Layout Modification. [Citation Graph (0, 0)][DBLP ] DAC, 1989, pp:799-802 [Conf ] Taku Uchino , Jason Cong An Interconnect Energy Model Considering Coupling Effects. [Citation Graph (0, 0)][DBLP ] DAC, 2001, pp:555-558 [Conf ] Jason Cong , John Peck On acceleration of the check tautology logic synthesis algorithm using an FPGA-based reconfigurable coprocessor. [Citation Graph (0, 0)][DBLP ] FCCM, 1997, pp:246-248 [Conf ] Jason Cong , Yuzheng Ding On Nominal Delay Minimization in LUT-based FPGA Technology Mapping. [Citation Graph (0, 0)][DBLP ] FPGA, 1995, pp:82-88 [Conf ] Jason Cong , Yiping Fan , Guoling Han , Ashok Jagannathan , Glenn Reinman , Zhiru Zhang Instruction set extension with shadow registers for configurable processors. [Citation Graph (0, 0)][DBLP ] FPGA, 2005, pp:99-106 [Conf ] Jason Cong , Yiping Fan , Guoling Han , Zhiru Zhang Application-specific instruction generation for configurable processor architectures. [Citation Graph (0, 0)][DBLP ] FPGA, 2004, pp:183-189 [Conf ] Jason Cong , Yean-Yow Hwang Simultaneous Depth and Area Minimization in LUT-based FPGA Mapping. [Citation Graph (0, 0)][DBLP ] FPGA, 1995, pp:68-74 [Conf ] Jason Cong , Yean-Yow Hwang Partially-Dependent Functional Decomposition with Applications in FPGA Synthesis and Mapping. [Citation Graph (0, 0)][DBLP ] FPGA, 1997, pp:35-42 [Conf ] Jason Cong , Yean-Yow Hwang Boolean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation. [Citation Graph (0, 0)][DBLP ] FPGA, 1998, pp:27-34 [Conf ] Jason Cong , Hui Huang , Xin Yuan Technology mapping for k/m-macrocell based FPGAs. [Citation Graph (0, 0)][DBLP ] FPGA, 2000, pp:51-59 [Conf ] Jason Cong , Yizhou Lin , Wangning Long SPFD-based global rewiring. [Citation Graph (0, 0)][DBLP ] FPGA, 2002, pp:77-84 [Conf ] Jason Cong , Kirill Minkovich Optimality study of logic synthesis for LUT-based FPGAs. [Citation Graph (0, 0)][DBLP ] FPGA, 2006, pp:33-40 [Conf ] Jason Cong , John Peck , Yuzheng Ding RASP: A General Logic Synthesis System for SRAM-Based FPGAs. [Citation Graph (0, 0)][DBLP ] FPGA, 1996, pp:137-143 [Conf ] Jason Cong , Chang Wu , Yuzheng Ding Cut Ranking and Pruning: Enabling a General and Efficient FPGA Mapping Solution. [Citation Graph (0, 0)][DBLP ] FPGA, 1999, pp:29-35 [Conf ] Gang Chen , Jason Cong Simultaneous logic decomposition with technology mapping in FPGA designs. [Citation Graph (0, 0)][DBLP ] FPGA, 2001, pp:48-55 [Conf ] Gang Chen , Jason Cong Simultaneous timing-driven placement and duplication. [Citation Graph (0, 0)][DBLP ] FPGA, 2005, pp:51-59 [Conf ] Deming Chen , Jason Cong , Milos D. Ercegovac , Zhijun Huang Performance-driven mapping for CPLD architectures. [Citation Graph (0, 0)][DBLP ] FPGA, 2001, pp:39-47 [Conf ] Jason Cong , Songjie Xu Technology Mapping for FPGAs with Embedded Memory Blocks. [Citation Graph (0, 0)][DBLP ] FPGA, 1998, pp:179-188 [Conf ] Jason Cong , Kenneth Yan Synthesis for FPGAs with embedded memory blocks. [Citation Graph (0, 0)][DBLP ] FPGA, 2000, pp:75-82 [Conf ] Deming Chen , Jason Cong , Fei Li , Lei He Low-power technology mapping for FPGA architectures with dual supply voltages. [Citation Graph (0, 0)][DBLP ] FPGA, 2004, pp:109-117 [Conf ] Fei Li , Deming Chen , Lei He , Jason Cong Architecture evaluation for power-efficient FPGAs. [Citation Graph (0, 0)][DBLP ] FPGA, 2003, pp:175-184 [Conf ] Fei Li , Yan Lin , Lei He , Jason Cong Low-power FPGA using pre-defined dual-Vdd/dual-Vt fabrics. [Citation Graph (0, 0)][DBLP ] FPGA, 2004, pp:42-50 [Conf ] Jason Cong , Kirill Minkovich Improved SAT-based Boolean matching using implicants for LUT-based FPGAs. [Citation Graph (0, 0)][DBLP ] FPGA, 2007, pp:139-147 [Conf ] Jason Cong , Guoling Han , Wei Jiang Synthesis of an application-specific soft multiprocessor system. [Citation Graph (0, 0)][DBLP ] FPGA, 2007, pp:99-107 [Conf ] Gang Chen , Jason Cong Simultaneous Timing Driven Clustering and Placement for FPGAs. [Citation Graph (0, 0)][DBLP ] FPL, 2004, pp:158-167 [Conf ] Robert C. Aitken , Jason Cong , Randy Harr , Kenneth L. Shepard , Wayne Wolf How will CAD handle billion-transistor systems? (panel). [Citation Graph (0, 0)][DBLP ] ICCAD, 1998, pp:5- [Conf ] Deming Chen , Jason Cong DAOmap: a depth-optimal area optimization mapping algorithm for FPGA designs. [Citation Graph (0, 0)][DBLP ] ICCAD, 2004, pp:752-759 [Conf ] Tony Chan , Jason Cong , Tianming Kong , Joseph R. Shinnerl Multilevel Optimization for Large-Scale Circuit Placement. [Citation Graph (0, 0)][DBLP ] ICCAD, 2000, pp:171-176 [Conf ] Tony F. Chan , Jason Cong , Tim Kong , Joseph R. Shinnerl , Kenton Sze An Enhanced Multilevel Algorithm for Circuit Placement. [Citation Graph (0, 0)][DBLP ] ICCAD, 2003, pp:299-306 [Conf ] Jason Cong , Yuzheng Ding An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. [Citation Graph (0, 0)][DBLP ] ICCAD, 1992, pp:48-53 [Conf ] Jason Cong , Yuzheng Ding Beyond the combinatorial limit in depth minimization for LUT-based FPGA designs. [Citation Graph (0, 0)][DBLP ] ICCAD, 1993, pp:110-114 [Conf ] Jason Cong , Yiping Fan , Guoling Han , Xun Yang , Zhiru Zhang Architectural Synthesis Integrated with Global Placement for Multi-Cycle Communication. [Citation Graph (0, 0)][DBLP ] ICCAD, 2003, pp:536-543 [Conf ] Jason Cong , Jie Fang , Kei-Yong Khoo An implicit connection graph maze routing algorithm for ECO routing. [Citation Graph (0, 0)][DBLP ] ICCAD, 1999, pp:163-167 [Conf ] Jason Cong , Jie Fang , Yan Zhang VI Multilevel Approach to Full-Chip Gridless Routing. [Citation Graph (0, 0)][DBLP ] ICCAD, 2001, pp:396-403 [Conf ] Jason Cong , Lei He Optimal wiresizing for interconnects with multiple sources. [Citation Graph (0, 0)][DBLP ] ICCAD, 1995, pp:568-574 [Conf ] Jason Cong , Lei He An efficient approach to simultaneous transistor and interconnect sizing. [Citation Graph (0, 0)][DBLP ] ICCAD, 1996, pp:181-186 [Conf ] Jason Cong , Lei He , Cheng-Kok Koh , David Zhigang Pan Global interconnect sizing and spacing with consideration of coupling capacitance. [Citation Graph (0, 0)][DBLP ] ICCAD, 1997, pp:628-633 [Conf ] Jason Cong , Guoling Han , Zhiru Zhang Architecture and compilation for data bandwidth improvement in configurable embedded processors. [Citation Graph (0, 0)][DBLP ] ICCAD, 2005, pp:263-270 [Conf ] Jason Cong , Cheng-Kok Koh Simultaneous driver and wire sizing for performance and power optimization. [Citation Graph (0, 0)][DBLP ] ICCAD, 1994, pp:206-212 [Conf ] Jason Cong , Cheng-Kok Koh Interconnect layout optimization under higher-order RLC model. [Citation Graph (0, 0)][DBLP ] ICCAD, 1997, pp:713-720 [Conf ] Jason Cong , Andrew B. Kahng , Cheng-Kok Koh , Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing under Elmore delay. [Citation Graph (0, 0)][DBLP ] ICCAD, 1995, pp:66-71 [Conf ] Jason Cong , Tianming Kong , David Zhigang Pan Buffer block planning for interconnect-driven floorplanning. [Citation Graph (0, 0)][DBLP ] ICCAD, 1999, pp:358-363 [Conf ] Jason Cong , Tim Kong , Joseph R. Shinnerl , Min Xie , Xin Yuan Large-Scale Circuit Placement: Gap and Promise. [Citation Graph (0, 0)][DBLP ] ICCAD, 2003, pp:883-890 [Conf ] Jason Cong , Sung Kyu Lim Physical Planning with Retiming. [Citation Graph (0, 0)][DBLP ] ICCAD, 2000, pp:2-7 [Conf ] Jason Cong , Kwok-Shing Leung Optimal wiresizing under the distributed Elmore delay model. [Citation Graph (0, 0)][DBLP ] ICCAD, 1993, pp:634-639 [Conf ] Jason Cong , Sung Kyu Lim Multiway partitioning with pairwise movement. [Citation Graph (0, 0)][DBLP ] ICCAD, 1998, pp:512-516 [Conf ] Jason Cong , Joey Y. Lin , Wangning Long A new enhanced SPFD rewiring algorithm. [Citation Graph (0, 0)][DBLP ] ICCAD, 2002, pp:672-678 [Conf ] Jason Cong , Honching Peter Li , Sung Kyu Lim , Toshiyuki Shibuya , Dongmin Xu Large scale circuit partitioning with loose/stable net removal and signal flow based clustering. [Citation Graph (0, 0)][DBLP ] ICCAD, 1997, pp:441-446 [Conf ] Jason Cong , Wilburt Labio , Narayanan Shivakumar Multi-way VLSI circuit partitioning based on dual net representation. [Citation Graph (0, 0)][DBLP ] ICCAD, 1994, pp:56-62 [Conf ] Jason Cong , David Zhigang Pan , Lei He , Cheng-Kok Koh , Kei-Yong Khoo Interconnect design for deep submicron ICs. [Citation Graph (0, 0)][DBLP ] ICCAD, 1997, pp:478-485 [Conf ] Jason Cong , Michail Romesis , Joseph R. Shinnerl Robust mixed-size placement under tight white-space constraints. [Citation Graph (0, 0)][DBLP ] ICCAD, 2005, pp:165-172 [Conf ] Jason Cong , Michail Romesis , Min Xie Optimality and Stability Study of Timing-Driven Placement Algorithms. [Citation Graph (0, 0)][DBLP ] ICCAD, 2003, pp:472-479 [Conf ] Jason Cong , Jie Wei , Yan Zhang A thermal-driven floorplanning algorithm for 3D ICs. [Citation Graph (0, 0)][DBLP ] ICCAD, 2004, pp:306-313 [Conf ] Jason Cong , Songjie Xu Delay-oriented technology mapping for heterogeneous FPGAs with bounded resources. [Citation Graph (0, 0)][DBLP ] ICCAD, 1998, pp:40-44 [Conf ] Jason Cong , Min Xie , Yan Zhang An enhanced multilevel routing system. [Citation Graph (0, 0)][DBLP ] ICCAD, 2002, pp:51-58 [Conf ] Jason Cong , Yan Zhang Thermal via planning for 3-D ICs. [Citation Graph (0, 0)][DBLP ] ICCAD, 2005, pp:745-752 [Conf ] Olivier Coudert , Jason Cong , Sharad Malik , Majid Sarrafzadeh Incremental CAD. [Citation Graph (0, 0)][DBLP ] ICCAD, 2000, pp:236-243 [Conf ] Darko Kirovski , Yean-Yow Hwang , Miodrag Potkonjak , Jason Cong Intellectual property protection by watermarking combinational logic synthesis solutions. [Citation Graph (0, 0)][DBLP ] ICCAD, 1998, pp:194-198 [Conf ] Chen Li 0004 , Min Xie , Cheng-Kok Koh , Jason Cong , Patrick H. Madden Routability-driven placement and white space allocation. [Citation Graph (0, 0)][DBLP ] ICCAD, 2004, pp:394-401 [Conf ] Takumi Okamoto , Jason Cong Buffered Steiner tree construction with wire sizing for interconnect layout optimization. [Citation Graph (0, 0)][DBLP ] ICCAD, 1996, pp:44-49 [Conf ] Zhiru Zhang , Yiping Fan , Miodrag Potkonjak , Jason Cong Gradual Relaxation Techniques with Applications to Behavioral Synthesis. [Citation Graph (0, 0)][DBLP ] ICCAD, 2003, pp:529-535 [Conf ] Jason Cong , Yiping Fan , Wei Jiang Platform-based resource binding using a distributed register-file microarchitecture. [Citation Graph (0, 0)][DBLP ] ICCAD, 2006, pp:709-715 [Conf ] Jason Cong , Chang Wu An Improved Algorithm for Performance Optimal Technology Mapping with Retiming in LUT-Based FPGA Desig. [Citation Graph (0, 0)][DBLP ] ICCD, 1996, pp:572-578 [Conf ] Jason Cong , Yuzheng Ding , Andrew B. Kahng , Peter Trajmar , Kuang-Chien Chen An Improved Graph-Based FPGA Techology Mapping Algorithm For Delay Optimization. [Citation Graph (0, 0)][DBLP ] ICCD, 1992, pp:154-158 [Conf ] Jason Cong , Kei-Yong Khoo A Provable Near-Optimal Algorithm for the Channel Pin Assignment Problem. [Citation Graph (0, 0)][DBLP ] ICCD, 1991, pp:319-322 [Conf ] Jason Cong , Andrew B. Kahng , Gabriel Robins , Majid Sarrafzadeh , C. K. Wong Performance-Driven Global Routing for Cell Based ICs. [Citation Graph (0, 0)][DBLP ] ICCD, 1991, pp:170-173 [Conf ] Charles J. Alpert , Jason Cong , Andrew B. Kahng , Gabriel Robins , Majid Sarrafzadeh Minimum Density Interconneciton Trees. [Citation Graph (0, 0)][DBLP ] ISCAS, 1993, pp:1865-1868 [Conf ] Jason Cong , Cheng-Kok Koh Minimum-Cost Bounded-Skew Clock Routing. [Citation Graph (0, 0)][DBLP ] ISCAS, 1995, pp:215-218 [Conf ] Jason Cong , Patrick H. Madden Performance Driven Routing with Mulitiple Sources. [Citation Graph (0, 0)][DBLP ] ISCAS, 1995, pp:203-206 [Conf ] Junjuan Xu , Jason Cong , Xu Cheng Lower-bound estimation for multi-bitwidth scheduling. [Citation Graph (0, 0)][DBLP ] ISCAS (1), 2005, pp:696-699 [Conf ] Dian Zhou , S. Su , F. Tsui , D. S. Gao , Jason Cong A Two-pole Circuit Model for VLSI High-speed Interconnection. [Citation Graph (0, 0)][DBLP ] ISCAS, 1993, pp:2129-2132 [Conf ] Deming Chen , Jason Cong Delay optimal low-power circuit clustering for FPGAs with dual supply voltages. [Citation Graph (0, 0)][DBLP ] ISLPED, 2004, pp:70-73 [Conf ] Deming Chen , Jason Cong , Yiping Fan Low-power high-level synthesis for FPGA architectures. [Citation Graph (0, 0)][DBLP ] ISLPED, 2003, pp:134-139 [Conf ] Jason Cong , Ashok Jagannathan , Glenn Reinman , Yuval Tamir Understanding the energy efficiency of SMT and CMP with multiclustering. [Citation Graph (0, 0)][DBLP ] ISLPED, 2005, pp:48-53 [Conf ] Jason Cong , Cheng-Kok Koh , Kwok-Shing Leung Simultaneous buffer and wire sizing for performance and power optimization. [Citation Graph (0, 0)][DBLP ] ISLPED, 1996, pp:271-276 [Conf ] Jason Cong , Patrick H. Madden Performance driven global routing for standard cell design. [Citation Graph (0, 0)][DBLP ] ISPD, 1997, pp:73-80 [Conf ] Jason Cong , Gabriele Nataneli , Michail Romesis , Joseph R. Shinnerl An area-optimality study of floorplanning. [Citation Graph (0, 0)][DBLP ] ISPD, 2004, pp:78-83 [Conf ] Jason Cong , Michail Romesis , Min Xie Optimality, scalability and stability study of partitioning and placement algorithms. [Citation Graph (0, 0)][DBLP ] ISPD, 2003, pp:88-94 [Conf ] Jason Cong , Majid Sarrafzadeh Incremental physical design. [Citation Graph (0, 0)][DBLP ] ISPD, 2000, pp:84-92 [Conf ] Jason Cong , Chang Wu Global clustering-based performance-driven circuit partitioning. [Citation Graph (0, 0)][DBLP ] ISPD, 2002, pp:149-154 [Conf ] Jason Cong , Jie Fang , Kei-Yong Khoo VIA design rule consideration in multi-layer maze routing algorithms. [Citation Graph (0, 0)][DBLP ] ISPD, 1999, pp:214-220 [Conf ] Jason Cong , Yiping Fan , Xun Yang , Zhiru Zhang Architecture and synthesis for multi-cycle communication. [Citation Graph (0, 0)][DBLP ] ISPD, 2003, pp:190-196 [Conf ] Jason Cong , Lei He An efficient technique for device and interconnect optimization in deep submicron designs. [Citation Graph (0, 0)][DBLP ] ISPD, 1998, pp:45-51 [Conf ] Jason Cong , Andrew B. Kahng , Kwok-Shing Leung Efficient heuristics for the minimum shortest path Steiner arborescence problem with applications to VLSI physical design. [Citation Graph (0, 0)][DBLP ] ISPD, 1997, pp:88-95 [Conf ] Tony F. Chan , Jason Cong , Michail Romesis , Joseph R. Shinnerl , Kenton Sze , Min Xie mPL6: a robust multilevel mixed-size placement engine. [Citation Graph (0, 0)][DBLP ] ISPD, 2005, pp:227-229 [Conf ] Tony Chan , Jason Cong , Kenton Sze Multilevel generalized force-directed method for circuit placement. [Citation Graph (0, 0)][DBLP ] ISPD, 2005, pp:185-192 [Conf ] Tony F. Chan , Jason Cong , Joseph R. Shinnerl , Kenton Sze , Min Xie mPL6: enhanced multilevel mixed-size placement. [Citation Graph (0, 0)][DBLP ] ISPD, 2006, pp:212-214 [Conf ] Chin-Chih Chang , Jason Cong Pseudo pin assignment with crosstalk noise control. [Citation Graph (0, 0)][DBLP ] ISPD, 2000, pp:41-47 [Conf ] Chin-Chih Chang , Jason Cong , David Zhigang Pan Physical hierarchy generation with routing congestion control. [Citation Graph (0, 0)][DBLP ] ISPD, 2002, pp:36-41 [Conf ] Jason Cong Timing closure based on physical hierarchy. [Citation Graph (0, 0)][DBLP ] ISPD, 2002, pp:170-174 [Conf ] Jason Cong , Jie Fang , Kei-Yong Khoo DUNE: a multi-layer gridless routing system with wire planning. [Citation Graph (0, 0)][DBLP ] ISPD, 2000, pp:12-18 [Conf ] Jason Cong , Joey Y. Lin , Wangning Long Enhanced SPFD Rewiring on Improving Rewiring Ability. [Citation Graph (0, 0)][DBLP ] IWLS, 2002, pp:91-96 [Conf ] Jason Cong , Moazzem Hossain , Naveed A. Sherwani A Provably Good Algorithm for k -Layer Topological Planar Routing Problems. [Citation Graph (0, 0)][DBLP ] VLSI Design, 1993, pp:113- [Conf ] Rajive Bagrodia , Zheng Li , Vikas Jha , Yuan Chen , Jason Cong Parallel logic level simulation of VLSI circuits. [Citation Graph (0, 0)][DBLP ] Winter Simulation Conference, 1994, pp:1354-1361 [Conf ] Jason Cong , Yuzheng Ding , Tong Gao , Kuang-Chien Chen LUT-based FPGA technology mapping under arbitrary net-delay models. [Citation Graph (0, 0)][DBLP ] Computers & Graphics, 1994, v:18, n:4, pp:507-516 [Journal ] Kuang-Chien Chen , Jason Cong , Yuzheng Ding , Andrew B. Kahng , Peter Trajmar DAG-Map: Graph-Based FPGA Technology Mapping for Delay Optimization. [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 1992, v:9, n:3, pp:7-20 [Journal ] Jason Cong , Lei He , Cheng-Kok Koh , Patrick H. Madden Performance optimization of VLSI interconnect layout. [Citation Graph (0, 0)][DBLP ] Integration, 1996, v:21, n:1-2, pp:1-94 [Journal ] Chin-Chih Chang , Jason Cong Pseudopin assignment with crosstalk noise control. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:5, pp:598-611 [Journal ] Chin-Chih Chang , Jason Cong An efficient approach to multilayer layer assignment with anapplication to via minimization. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1999, v:18, n:5, pp:608-620 [Journal ] Chin-Chih Chang , Jason Cong , David Zhigang Pan , Xin Yuan Multilevel global placement with congestion control. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2003, v:22, n:4, pp:395-409 [Journal ] Chin-Chih Chang , Jason Cong , Michail Romesis , Min Xie Optimality and scalability study of existing placement algorithms. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2004, v:23, n:4, pp:537-549 [Journal ] Deming Chen , Jason Cong , Milos D. Ercegovac , Zhijun Huang Performance-driven mapping for CPLD architectures. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2003, v:22, n:10, pp:1424-1431 [Journal ] Jason Cong Pin assignment with global routing for general cell designs. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1991, v:10, n:11, pp:1401-1412 [Journal ] Jason Cong , Yuzheng Ding FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1994, v:13, n:1, pp:1-12 [Journal ] Jason Cong , Yiping Fan , Guoling Han , Xun Yang , Zhiru Zhang Architecture and synthesis for on-chip multicycle communication. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2004, v:23, n:4, pp:550-564 [Journal ] Jason Cong , Jie Fang , Kei-Yong Khoo Via design rule consideration in multilayer maze routing algorithms. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2000, v:19, n:2, pp:215-223 [Journal ] Jason Cong , Jie Fang , Kei-Yong Khoo DUNE-a multilayer gridless routing system. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:5, pp:633-647 [Journal ] Jason Cong , Jie Fang , Min Xie , Yan Zhang MARS-a multilevel full-chip gridless routing system. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2005, v:24, n:3, pp:382-394 [Journal ] Jason Cong , Yean-Yow Hwang Boolean matching for LUT-based logic blocks with applications toarchitecture evaluation and technology mapping. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:9, pp:1077-1090 [Journal ] Jason Cong , Lei He Theory and algorithm of local-refinement-based optimization with application to device and interconnect sizing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1999, v:18, n:4, pp:406-420 [Journal ] Jason Cong , Lei He , Cheng-Kok Koh , David Zhigang Pan Interconnect sizing and spacing with consideration of couplingcapacitance. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:9, pp:1164-1169 [Journal ] Jason Cong , Moazzem Hossain , Naveed A. Sherwani A provably good multilayer topological planar routing algorithm in IC layout designs. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1993, v:12, n:1, pp:70-78 [Journal ] Jason Cong , Andrew B. Kahng , Kwok-Shing Leung Efficient algorithms for the minimum shortest path Steiner arborescence problem with applications to VLSI physical design. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1998, v:17, n:1, pp:24-39 [Journal ] Jason Cong , Cheng-Kok Koh , Patrick H. Madden Interconnect layout optimization under higher order RLC model forMCM designs. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:12, pp:1455-1463 [Journal ] Jason Cong , Andrew B. Kahng , Gabriel Robins Matching-based methods for high-performance clock routing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1993, v:12, n:8, pp:1157-1169 [Journal ] Jason Cong , Andrew B. Kahng , Gabriel Robins , Majid Sarrafzadeh , Chak-Kuen Wong Provably good performance-driven global routing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1992, v:11, n:6, pp:739-752 [Journal ] Jason Cong , Sung Kyu Lim Edge separability-based circuit clustering with application to multilevel circuit partitioning. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2004, v:23, n:3, pp:346-357 [Journal ] Jason Cong , Sung Kyu Lim Retiming-based timing analysis with an application to mincut-based global placement. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2004, v:23, n:12, pp:1684-1692 [Journal ] Jason Cong , C. L. Liu Over-the-cell channel routing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1990, v:9, n:4, pp:408-418 [Journal ] Jason Cong , C. L. Liu On the k-layer planar subset and topological via minimization problems. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1991, v:10, n:8, pp:972-981 [Journal ] Jason Cong , Kwok-Shing Leung Optimal wiresizing under Elmore delay model. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1995, v:14, n:3, pp:321-336 [Journal ] Jason Cong , Wilburt Labio , Narayanan Shivakumar Multiway VLSI circuit partitioning based on dual net representation. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1996, v:15, n:4, pp:396-409 [Journal ] Jason Cong , Patrick H. Madden Performance-driven routing with multiple sources. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1997, v:16, n:4, pp:410-419 [Journal ] Jason Cong , David Zhigang Pan Interconnect performance estimation models for design planning. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:6, pp:739-752 [Journal ] Jason Cong , David Zhigang Pan Wire width planning for interconnect performance optimization. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2002, v:21, n:3, pp:319-329 [Journal ] Jason Cong , Bryan Preas , C. L. Liu Physical models and efficient algorithms for over-the-cell routing in standard cell design. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1993, v:12, n:5, pp:723-734 [Journal ] Jason Cong , Michail Romesis , Joseph R. Shinnerl Fast floorplanning by look-ahead enabled recursive bipartitioning. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2006, v:25, n:9, pp:1719-1732 [Journal ] Jason Cong , Chang Wu An efficient algorithm for performance-optimal FPGA technology mapping with retiming. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1998, v:17, n:9, pp:738-748 [Journal ] Jason Cong , Chang Wu Optimal FPGA mapping and retiming with efficient initial state computation. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1999, v:18, n:11, pp:1595-1607 [Journal ] Jason Cong , Martin D. F. Wong , C. L. Liu A new approach to three- or four-layer channel routing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1988, v:7, n:10, pp:1094-1104 [Journal ] Jason Cong , Songjie Xu Performance-driven technology mapping for heterogeneous FPGAs. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2000, v:19, n:11, pp:1268-1281 [Journal ] Kei-Yong Khoo , Jason Cong An efficient multilayer MCM router based on four-via routing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1995, v:14, n:10, pp:1277-1290 [Journal ] Fei Li , Yizhou Lin , Lei He , Deming Chen , Jason Cong Power modeling and characteristics of field programmable gate arrays. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2005, v:24, n:11, pp:1712-1724 [Journal ] Khe-Sing The , Martin D. F. Wong , Jason Cong A layout modification approach to via minimization. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1991, v:10, n:4, pp:536-541 [Journal ] Taku Uchino , Jason Cong An interconnect energy model considering coupling effects. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2002, v:21, n:7, pp:763-776 [Journal ] Deming Chen , Jason Cong , Junjuan Xu Optimal simultaneous module and multivoltage assignment for low power. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 2006, v:11, n:2, pp:362-386 [Journal ] Jason Cong , Yuzheng Ding Combinational logic synthesis for LUT based field programmable gate arrays. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 1996, v:1, n:2, pp:145-204 [Journal ] Jason Cong , Yean-Yow Hwang Structural gate decomposition for depth-optimal technology mapping in LUT-based FPGA designs. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 2000, v:5, n:2, pp:193-225 [Journal ] Jason Cong , Lei He Optimal wiresizing for interconnects with multiple sources. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 1996, v:1, n:4, pp:478-511 [Journal ] Jason Cong , Hui Huang , Xin Yuan Technology mapping and architecture evalution for k/m -macrocell-based FPGAs. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 2005, v:10, n:1, pp:3-23 [Journal ] Jason Cong , Andrew B. Kahng , Cheng-Kok Koh , Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 1998, v:3, n:3, pp:341-388 [Journal ] Jason Cong , Joseph R. Shinnerl , Min Xie , Tim Kong , Xin Yuan Large-scale circuit placement. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 2005, v:10, n:2, pp:389-430 [Journal ] Gang Chen , Jason Cong Simultaneous placement with clustering and duplication. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 2006, v:11, n:3, pp:740-772 [Journal ] Jason Cong , Guoling Han , Zhiru Zhang Architecture and Compiler Optimizations for Data Bandwidth Improvement in Configurable Processors. [Citation Graph (0, 0)][DBLP ] IEEE Trans. VLSI Syst., 2006, v:14, n:9, pp:986-997 [Journal ] Jason Cong , Guoling Han , Ashok Jagannathan , Glenn Reinman , Krzysztof Rutkowski Accelerating Sequential Applications on CMPs Using Core Spilling. [Citation Graph (0, 0)][DBLP ] IEEE Trans. Parallel Distrib. Syst., 2007, v:18, n:8, pp:1094-1107 [Journal ] Jason Cong , Yuzheng Ding On area/depth trade-off in LUT-based FPGA technology mapping. [Citation Graph (0, 0)][DBLP ] IEEE Trans. VLSI Syst., 1994, v:2, n:2, pp:137-148 [Journal ] Jason Cong , Cheng-Kok Koh Simultaneous driver and wire sizing for performance and power optimization. [Citation Graph (0, 0)][DBLP ] IEEE Trans. VLSI Syst., 1994, v:2, n:4, pp:408-425 [Journal ] Jason Cong , Tianming Kong , Z. D. Pan Buffer block planning for interconnect planning and prediction. [Citation Graph (0, 0)][DBLP ] IEEE Trans. VLSI Syst., 2001, v:9, n:6, pp:929-937 [Journal ] Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. [Citation Graph (, )][DBLP ] Thermal-Aware 3D IC Placement Via Transformation. [Citation Graph (, )][DBLP ] A multilevel analytical placement for 3D ICs. [Citation Graph (, )][DBLP ] Scheduling with integer time budgeting for low-power optimization. [Citation Graph (, )][DBLP ] Behavioral synthesis with activating unused flip-flops for reducing glitch power in FPGA. [Citation Graph (, )][DBLP ] High-Level Power Estimation and Low-Power Design Space Exploration for FPGAs. [Citation Graph (, )][DBLP ] LP based white space redistribution for thermal via planning and performance optimization in 3D ICs. [Citation Graph (, )][DBLP ] On the futility of statistical power optimization. [Citation Graph (, )][DBLP ] A variation-tolerant scheduler for better than worst-case behavioral synthesis. [Citation Graph (, )][DBLP ] From milliwatts to megawatts: system level power challenge. [Citation Graph (, )][DBLP ] Moore's Law: another casualty of the financial meltdown? [Citation Graph (, )][DBLP ] ACES: application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip. [Citation Graph (, )][DBLP ] LUT-based FPGA technology mapping for reliability. [Citation Graph (, )][DBLP ] Simultaneous FU and Register Binding Based on Network Flow Method. [Citation Graph (, )][DBLP ] Energy efficient multiprocessor task scheduling under input-dependent variation. [Citation Graph (, )][DBLP ] A generalized control-flow-aware pattern recognition algorithm for behavioral synthesis. [Citation Graph (, )][DBLP ] Coordinated resource optimization in behavioral synthesis. [Citation Graph (, )][DBLP ] On the k-layer planar subset and via minimization problems. [Citation Graph (, )][DBLP ] Evaluation of Static Analysis Techniques for Fixed-Point Precision Optimization. [Citation Graph (, )][DBLP ] Lithographic aerial image simulation with FPGA-based hardwareacceleration. [Citation Graph (, )][DBLP ] Pattern-based behavior synthesis for FPGA resource reduction. [Citation Graph (, )][DBLP ] Mapping for better than worst-case delays in LUT-based FPGA designs. [Citation Graph (, )][DBLP ] LUT-based FPGA technology mapping for reliability (abstract only). [Citation Graph (, )][DBLP ] Revisiting bitwidth optimizations. [Citation Graph (, )][DBLP ] Synthesis of reconfigurable high-performance multicore systems. [Citation Graph (, )][DBLP ] Bit-level optimization for high-level synthesis and FPGA-based acceleration. [Citation Graph (, )][DBLP ] Accelerating Monte Carlo based SSTA using FPGA. [Citation Graph (, )][DBLP ] Customizable domain-specific computing. [Citation Graph (, )][DBLP ] CMP network-on-chip overlaid with multi-band RF-interconnect. [Citation Graph (, )][DBLP ] MC-Sim: an efficient simulation tool for MPSoC designs. [Citation Graph (, )][DBLP ] Fault tolerant placement and defect reconfiguration for nano-FPGAs. [Citation Graph (, )][DBLP ] Scheduling with soft constraints. [Citation Graph (, )][DBLP ] Automatic memory partitioning and scheduling for throughput and power optimization. [Citation Graph (, )][DBLP ] A rigorous framework for convergent net weighting schemes in timing-driven placement. [Citation Graph (, )][DBLP ] Parallel multi-level analytical global placement on graphics processing units. [Citation Graph (, )][DBLP ] Fine grain 3D integration for microarchitecture design through cube packing exploration. [Citation Graph (, )][DBLP ] High-performance CUDA kernel execution on FPGAs. [Citation Graph (, )][DBLP ] Behavior-level observability don't-cares and application to low-power behavioral synthesis. [Citation Graph (, )][DBLP ] Highly efficient gradient computation for density-constrained analytical placement methods. [Citation Graph (, )][DBLP ] RF interconnects for communications on-chip. [Citation Graph (, )][DBLP ] Robust gate sizing via mean excess delay minimization. [Citation Graph (, )][DBLP ] An analytical placer for mixed-size 3D placement. [Citation Graph (, )][DBLP ] Power reduction of CMP communication networks via RF-interconnects. [Citation Graph (, )][DBLP ] A scalable micro wireless interconnect structure for CMPs. [Citation Graph (, )][DBLP ] Multiband RF-interconnect for reconfigurable network-on-chip communications. [Citation Graph (, )][DBLP ] A new generation of C-base synthesis tool and domain-specific computing. [Citation Graph (, )][DBLP ] FCUDA: Enabling efficient compilation of CUDA kernels onto FPGAs. [Citation Graph (, )][DBLP ] The Last Byte: The HLS tipping point. [Citation Graph (, )][DBLP ] NSF Workshop on EDA: Past, Present, and Future (Part 1). [Citation Graph (, )][DBLP ] Search in 0.066secs, Finished in 0.074secs