The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Xu Cheng: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Jason Cong, Yiping Fan, Guoling Han, Yizhou Lin, Junjuan Xu, Zhiru Zhang, Xu Cheng
    Bitwidth-aware scheduling and binding in high-level synthesis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:856-861 [Conf]
  2. Xu Cheng, Du Zhang
    FTA: A File Transfer Agent Using Java. [Citation Graph (0, 0)][DBLP]
    CAINE, 2002, pp:111-114 [Conf]
  3. Kui Wang, Lian Duan, Xu Cheng
    ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling. [Citation Graph (0, 0)][DBLP]
    DAC, 2006, pp:951-954 [Conf]
  4. Chun Yang, Yan Niu, Yubin Xia, Xu Cheng
    A Fast Lossless Codec of Continuous-Tone Images for Thin Client Computing. [Citation Graph (0, 0)][DBLP]
    DCC, 2007, pp:409- [Conf]
  5. Thomas J. Overbye, Xu Cheng, Yan Sun
    A Comparison of the AC and DC Power Flow Models for LMP Calculations. [Citation Graph (0, 0)][DBLP]
    HICSS, 2004, pp:- [Conf]
  6. Xu Cheng
    Heterogeneous Multi-processor SoC: An Emerging Paradigm of Embedded System Design and Its Challenges. [Citation Graph (0, 0)][DBLP]
    ICESS, 2005, pp:3- [Conf]
  7. Yuanrui Zhang, Shu Liu, Weijia Jia, Xu Cheng
    BluePower - A New Distributed Multihop Scatternet Formation Protocol for Bluetooth Networks. [Citation Graph (0, 0)][DBLP]
    ICPP, 2005, pp:287-294 [Conf]
  8. Qiang Liu, Dong Tong, Xu Cheng
    Non-interleaving architecture for hardware implementation of modular multiplication. [Citation Graph (0, 0)][DBLP]
    ISCAS (1), 2005, pp:660-663 [Conf]
  9. Junjuan Xu, Jason Cong, Xu Cheng
    Lower-bound estimation for multi-bitwidth scheduling. [Citation Graph (0, 0)][DBLP]
    ISCAS (1), 2005, pp:696-699 [Conf]
  10. Ning Qu, Yulai Zhao, Xuetao Guan, Xu Cheng
    Unichos: a full system simulator for thin client platform. [Citation Graph (0, 0)][DBLP]
    SAC, 2007, pp:1552-1556 [Conf]
  11. Xu Cheng
    A Graph Transformation Algorithm for Concurrency Control in a Partitioned Database. [Citation Graph (0, 0)][DBLP]
    Inf. Process. Lett., 1991, v:38, n:1, pp:43-48 [Journal]
  12. Yi Feng, Zheng Zhou, Dong Tong, Xu Cheng
    Clock domain crossing fault model and coverage metric for validation of SoC design. [Citation Graph (0, 0)][DBLP]
    DATE, 2007, pp:1385-1390 [Conf]
  13. Xianhua Liu, Jiyu Zhang, Xu Cheng
    NISD: A Framework for Automatic Narrow Instruction Set Design. [Citation Graph (0, 0)][DBLP]
    ICESS, 2007, pp:271-282 [Conf]
  14. Xu Cheng, Cameron Dale, Jiangchuan Liu
    Understanding the Characteristics of Internet Short Video Sharing: YouTube as a Case Study [Citation Graph (0, 0)][DBLP]
    CoRR, 2007, v:0, n:, pp:- [Journal]
  15. Yulai Zhao, Xian-Feng Li, Dong Tong, Xu Cheng
    An Energy-Efficient Instruction Scheduler Design with Two-Level Shelving and Adaptive Banking. [Citation Graph (0, 0)][DBLP]
    J. Comput. Sci. Technol., 2007, v:22, n:1, pp:15-24 [Journal]

  16. An Efficient SSA-Based Algorithm for Complete Global Value Numbering. [Citation Graph (, )][DBLP]


  17. GISP: A Transparent Superpage Support Framework for Linux. [Citation Graph (, )][DBLP]


  18. A Retargetable Software Timing Analyzer Using Architecture Description Language. [Citation Graph (, )][DBLP]


  19. RunBasedReordering: A Novel Approach for Test Data Compression and Scan Power. [Citation Graph (, )][DBLP]


  20. A fast incremental clock skew scheduling algorithm for slack optimization. [Citation Graph (, )][DBLP]


  21. Super-K: A SoC for single-chip ultra mobile computer. [Citation Graph (, )][DBLP]


  22. Dual-Vth leakage reduction with Fast Clock Skew Scheduling Enhancement. [Citation Graph (, )][DBLP]


  23. A Low Complexity MPEG Video Decoder with Arbitrary Downscaling Capability. [Citation Graph (, )][DBLP]


  24. Analysis and Enhancement for Interactive-Oriented Virtual Machine Scheduling. [Citation Graph (, )][DBLP]


  25. Bit-level optimization for high-level synthesis and FPGA-based acceleration. [Citation Graph (, )][DBLP]


  26. FPGA prototyping of an amba-based windows-compatible SoC. [Citation Graph (, )][DBLP]


  27. A New Signal Denoising Algorithm from Wavelet Modulus Maxima. [Citation Graph (, )][DBLP]


  28. Communities discovery in mobile call records. [Citation Graph (, )][DBLP]


  29. Reuse Distance Based Cache Leakage Control. [Citation Graph (, )][DBLP]


  30. Hybrid PUSH-PULL for Data Diffusion in Sensor Networks without Location Information. [Citation Graph (, )][DBLP]


  31. CacheCompress: a novel approach for test data compression with cache for IP embedded cores. [Citation Graph (, )][DBLP]


  32. NetTube: Exploring Social Networks for Peer-to-Peer Short Video Sharing. [Citation Graph (, )][DBLP]


  33. Statistics and Social Network of YouTube Videos. [Citation Graph (, )][DBLP]


  34. Collaborative delay-aware scheduling in peer-to-peer UGC video sharing. [Citation Graph (, )][DBLP]


  35. Tweeting videos: coordinate live streaming and storage sharing. [Citation Graph (, )][DBLP]


  36. An approach for supporting system-level test scenarios generation from textual use cases. [Citation Graph (, )][DBLP]


  37. Energy efficient management scheme for heterogeneous secondary storage system in mobile computers. [Citation Graph (, )][DBLP]


  38. A Fast and Efficient Codec for Multimedia Applications in Wireless Thin-Client Computing. [Citation Graph (, )][DBLP]


  39. A Heterogeneous Auto-offloading Framework Based on Web Browser for Resource-Constrained Devices. [Citation Graph (, )][DBLP]


  40. Track Down HW Function Faults Using Real SW Invariants. [Citation Graph (, )][DBLP]


  41. A Scatter Search Algorithm for the Slab Stack Shuffling Problem. [Citation Graph (, )][DBLP]


  42. LOHD: Location-Oblivious Hybrid data Diffusion in wireless sensor networks. [Citation Graph (, )][DBLP]


  43. Hierarchical distributed data classification in wireless sensor networks. [Citation Graph (, )][DBLP]


Search in 0.002secs, Finished in 0.303secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002