The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Erik Brockmeyer: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Qubo Hu, Arnout Vandecappelle, Martin Palkovic, Per Gunnar Kjeldsberg, Erik Brockmeyer, Francky Catthoor
    Hierarchical memory size estimation for loop fusion and loop shifting in data-dominated applications. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2006, pp:606-611 [Conf]
  2. Ilya Issenin, Erik Brockmeyer, Bart Durinck, Nikil Dutt
    Multiprocessor system-on-chip data reuse analysis for exploring customized memory hierarchies. [Citation Graph (0, 0)][DBLP]
    DAC, 2006, pp:49-52 [Conf]
  3. Arnout Vandecappelle, Miguel Miranda, Erik Brockmeyer, Francky Catthoor, Diederik Verkest
    Global Multimedia System Design Exploration Using Accurate Memory Organization Feedback. [Citation Graph (0, 0)][DBLP]
    DAC, 1999, pp:327-332 [Conf]
  4. Pieter Op de Beeck, C. Ghez, Erik Brockmeyer, Miguel Miranda, Francky Catthoor, Geert Deconinck
    Background Data Organisation for the Low-Power Implementation in Real-Time of a Digital Audio Broadcast Receiver on a SIMD Processor. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:11144-11145 [Conf]
  5. Erik Brockmeyer, Miguel Miranda, Henk Corporaal, Francky Catthoor
    Layer Assignment echniques for Low Energy in Multi-Layered Memory Organisations. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:11070-11075 [Conf]
  6. Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:946-947 [Conf]
  7. Ilya Issenin, Erik Brockmeyer, Miguel Miranda, Nikil Dutt
    Data Reuse Analysis Technique for Software-Controlled Memory Hierarchies. [Citation Graph (0, 0)][DBLP]
    DATE, 2004, pp:202-207 [Conf]
  8. Erik Brockmeyer, Francky Catthoor, Jan Bormans, Hugo De Man
    Code Transformations for Reduced Data Transfer and Storage in Low Power Realisations of MPEG-4 Full-Pel Motion Estimation. [Citation Graph (0, 0)][DBLP]
    ICIP (3), 1998, pp:985-989 [Conf]
  9. Erik Brockmeyer, Arnout Vandecappelle, Francky Catthoor
    Systematic cycle budget versus system power trade-off: a new perspective on system exploration of real-time data-dominated applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:137-142 [Conf]
  10. Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Erik Brockmeyer, Francky Catthoor, Mary Jane Irwin
    Estimating influence of data layout optimizations on SDRAM energy consumption. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2003, pp:40-43 [Conf]
  11. Erik Brockmeyer, Arnout Vandecappelle, Sven Wuytack, Francky Catthoor
    Low Power Storage Cycle Budget Distribution Tool Support for Hierarchical Graphs. [Citation Graph (0, 0)][DBLP]
    ISSS, 2000, pp:200-206 [Conf]
  12. Francky Catthoor, Diederik Verkest, Erik Brockmeyer
    Proposal for Unified System Design Meta Flow in Task-Level and Instruction-Level Design Technology Research for Multi-Media Applications. [Citation Graph (0, 0)][DBLP]
    ISSS, 1998, pp:89-95 [Conf]
  13. Minas Dasygenis, Erik Brockmeyer, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    Improving the Memory Bandwidth Utilization Using Loop Transformations. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2005, pp:117-126 [Conf]
  14. Martin Palkovic, Erik Brockmeyer, Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor
    Systematic Preprocessing of Data Dependent Constructs for Embedded Systems. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2005, pp:89-98 [Conf]
  15. Erik Brockmeyer, Jeroen van der Vegt, Arnout Vandecappelle, Kimish Patel
    Optimised Mapping of the QSDPCM Video Codec on MPARM: Shared Bus is not the Bottleneck. [Citation Graph (0, 0)][DBLP]
    PDPTA, 2005, pp:755-760 [Conf]
  16. Min (Leon) Li, Tanja Van Achteren, Erik Brockmeyer, Francky Catthoor
    Statistical Performance Analysis and Estimation of Coarse Grain Parallel Multimedia Processing System. [Citation Graph (0, 0)][DBLP]
    IEEE Real Time Technology and Applications Symposium, 2006, pp:277-288 [Conf]
  17. Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    Power, Performance and Area Exploration for Data Memory Assignment of Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    SAMOS, 2004, pp:540-549 [Conf]
  18. Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau, Francky Catthoor, Arnout Vandecappelle, Erik Brockmeyer, Chidamber Kulkarni, Eddy de Greef
    Data Memory Organization and Optimizations in Application-Specific Systems. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2001, v:18, n:3, pp:56-68 [Journal]
  19. Erik Brockmeyer, Lode Nachtergaele, Francky Catthoor, Jan Bormans, Hugo De Man
    Low Power Memory Storage and Transfer Organization for the MPEG-4 Full Pel Motion Estimation on a Multimedia Processor. [Citation Graph (0, 0)][DBLP]
    IEEE Transactions on Multimedia, 1999, v:1, n:2, pp:202-216 [Journal]
  20. Preeti Ranjan Panda, Francky Catthoor, Nikil D. Dutt, Koen Danckaert, Erik Brockmeyer, Chidamber Kulkarni, Arnout Vandecappelle, Per Gunnar Kjeldsberg
    Data and memory optimization techniques for embedded systems. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2001, v:6, n:2, pp:149-206 [Journal]
  21. Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Adonios Thanailakis
    A combined DMA and application-specific prefetching approach for tackling the memory latency bottleneck. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2006, v:14, n:3, pp:279-291 [Journal]
  22. Chantal Ykman-Couvreur, Vincent Nollet, Théodore Marescaux, Erik Brockmeyer, Francky Catthoor, Henk Corporaal
    Pareto-Based Application Specification for MP-SoC Customized Run-Time Management. [Citation Graph (0, 0)][DBLP]
    ICSAMOS, 2006, pp:78-84 [Conf]
  23. Théodore Marescaux, Erik Brockmeyer, Henk Corporaal
    The Impact of Higher Communication Layers on NoC Supported MP-SoCs. [Citation Graph (0, 0)][DBLP]
    NOCS, 2007, pp:107-116 [Conf]
  24. Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck [Citation Graph (0, 0)][DBLP]
    CoRR, 2007, v:0, n:, pp:- [Journal]
  25. Ilya Issenin, Erik Brockmeyer, Miguel Miranda, Nikil Dutt
    DRDU: A data reuse analysis technique for efficient scratch-pad memory management. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2007, v:12, n:2, pp:- [Journal]
  26. Martin Palkovic, Erik Brockmeyer, Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor
    Systematic Preprocessing of Data Dependent Constructs for Embedded Systems. [Citation Graph (0, 0)][DBLP]
    J. Low Power Electronics, 2006, v:2, n:1, pp:9-1 [Journal]

  27. An automatic scratch pad memory management tool and MPEG-4 encoder case study. [Citation Graph (, )][DBLP]


  28. Exploring parallelizations of applications for MPSoC platforms using MPA. [Citation Graph (, )][DBLP]


Search in 0.002secs, Finished in 0.306secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002