The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Stephen A. Edwards: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Olivier Tardieu, Stephen A. Edwards
    Approximate Reachability for Dead Code Elimination in Esterel. [Citation Graph (0, 0)][DBLP]
    ATVA, 2005, pp:323-337 [Conf]
  2. Robert K. Brayton, Gary D. Hachtel, Alberto L. Sangiovanni-Vincentelli, Fabio Somenzi, Adnan Aziz, Szu-Tsung Cheng, Stephen A. Edwards, Sunil P. Khatri, Yuji Kukimoto, Abelardo Pardo, Shaz Qadeer, Rajeev K. Ranjan, Shaker Sarwary, Thomas R. Shiple, Gitanjali Swamy, Tiziano Villa
    VIS: A System for Verification and Synthesis. [Citation Graph (0, 0)][DBLP]
    CAV, 1996, pp:428-432 [Conf]
  3. Christopher L. Conway, Kedar S. Namjoshi, Dennis Dams, Stephen A. Edwards
    Incremental Algorithms for Inter-procedural Analysis of Safety Properties. [Citation Graph (0, 0)][DBLP]
    CAV, 2005, pp:449-461 [Conf]
  4. Stephen A. Edwards
    Compiling Esterel into sequential code. [Citation Graph (0, 0)][DBLP]
    CODES, 1999, pp:147-151 [Conf]
  5. Stephen A. Edwards
    Compiling Esterel into sequential code. [Citation Graph (0, 0)][DBLP]
    DAC, 2000, pp:322-327 [Conf]
  6. Stephen A. Edwards
    Making cyclic circuits acyclic. [Citation Graph (0, 0)][DBLP]
    DAC, 2003, pp:159-162 [Conf]
  7. Cristian Soviani, Ilija Hadzic, Stephen A. Edwards
    Synthesis of high-performance packet processing pipelines. [Citation Graph (0, 0)][DBLP]
    DAC, 2006, pp:679-682 [Conf]
  8. Stephen A. Edwards
    SHIM: A Language for Hardware/Software Integration. [Citation Graph (0, 0)][DBLP]
    SYNCHRON, 2004, pp:- [Conf]
  9. Stephen A. Edwards, Nicolas Halbwachs, Reinhard von Hanxleden, Thomas Stauner
    04491 Abstracts Collection - Synchronous Programming - SYNCHRON'04. [Citation Graph (0, 0)][DBLP]
    SYNCHRON, 2004, pp:- [Conf]
  10. Stephen A. Edwards, Nicolas Halbwachs, Reinhard von Hanxleden, Thomas Stauner
    04491 Executive Summary - Synchronous Programming - SYNCHRON'04. [Citation Graph (0, 0)][DBLP]
    SYNCHRON, 2004, pp:- [Conf]
  11. Stephen A. Edwards
    The Challenges of Hardware Synthesis from C-Like Languages. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:66-67 [Conf]
  12. Stephen Jan, Paolo de Dios, Stephen A. Edwards
    Porting a Network Cryptographic Service to the RMC2000: A Case Study in Embedded Software Development. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:20150-20157 [Conf]
  13. Cristian Soviani, Olivier Tardieu, Stephen A. Edwards
    Optimizing sequential cycles through Shannon decomposition and retiming. [Citation Graph (0, 0)][DBLP]
    DATE, 2006, pp:1085-1090 [Conf]
  14. Stephen A. Edwards, Olivier Tardieu
    SHIM: a deterministic model for heterogeneous embedded systems. [Citation Graph (0, 0)][DBLP]
    EMSOFT, 2005, pp:264-272 [Conf]
  15. Olivier Tardieu, Stephen A. Edwards
    Scheduling-independent threads and exceptions in SHIM. [Citation Graph (0, 0)][DBLP]
    EMSOFT, 2006, pp:142-151 [Conf]
  16. Nicholas Jun Hao Ip, Stephen A. Edwards
    A Processor Extension for Cycle-Accurate Real-Time Software. [Citation Graph (0, 0)][DBLP]
    EUC, 2006, pp:449-458 [Conf]
  17. Robert K. Brayton, Gary D. Hachtel, Alberto L. Sangiovanni-Vincentelli, Fabio Somenzi, Adnan Aziz, Szu-Tsung Cheng, Stephen A. Edwards, Sunil P. Khatri, Yuji Kukimoto, Abelardo Pardo, Shaz Qadeer, Rajeev K. Ranjan, Shaker Sarwary, Thomas R. Shiple, Gitanjali Swamy, Tiziano Villa
    VIS. [Citation Graph (0, 0)][DBLP]
    FMCAD, 1996, pp:248-256 [Conf]
  18. Jia Zeng, Stephen A. Edwards
    Separate Compilation for Synchronous Modules. [Citation Graph (0, 0)][DBLP]
    ICESS, 2005, pp:129-140 [Conf]
  19. Osama Neiroukh, Stephen A. Edwards, Xiaoyu Song
    An Efficient Algorithm for the Analysis of Cyclic Circuits. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2006, pp:303-308 [Conf]
  20. Stephen A. Edwards
    High-Level Synthesis from the Synchronous Language Esterel. [Citation Graph (0, 0)][DBLP]
    IWLS, 2002, pp:401-406 [Conf]
  21. Christopher L. Conway, Stephen A. Edwards
    NDL: a domain-specific language for device drivers. [Citation Graph (0, 0)][DBLP]
    LCTES, 2004, pp:30-36 [Conf]
  22. Stephen A. Edwards, Olivier Tardieu
    Efficient code generation from SHIM models. [Citation Graph (0, 0)][DBLP]
    LCTES, 2006, pp:125-134 [Conf]
  23. Jia Zeng, Cristian Soviani, Stephen A. Edwards
    Generating fast code from concurrent program dependence graphs. [Citation Graph (0, 0)][DBLP]
    LCTES, 2004, pp:175-181 [Conf]
  24. Stephen A. Edwards, Olivier Tardieu
    Deterministic receptive processes are Kahn processes. [Citation Graph (0, 0)][DBLP]
    MEMOCODE, 2005, pp:37-44 [Conf]
  25. Stephen A. Edwards
    Using program specialization to speed SystemC fixed-point simulation. [Citation Graph (0, 0)][DBLP]
    PEPM, 2006, pp:21-28 [Conf]
  26. Marcio Buss, Stephen A. Edwards, Bin Yao, Dan G. Waddington
    Pointer Analysis for Source-to-Source Transformations. [Citation Graph (0, 0)][DBLP]
    SCAM, 2005, pp:139-150 [Conf]
  27. Sandeep K. Shukla, Jean-Pierre Talpin, Stephen A. Edwards, Rajesh K. Gupta
    High Level Modeling and Validation Methodologies for Embedded Systems: Bridging the Productivity Gap. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 2003, pp:9-14 [Conf]
  28. Gitanjali Swamy, Stephen A. Edwards, Robert K. Brayton
    Efficient Verification and Synthesis using Design Commonalities. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 1998, pp:542-551 [Conf]
  29. Stephen A. Edwards
    The Challenges of Synthesizing Hardware from C-Like Languages. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2006, v:23, n:5, pp:375-386 [Journal]
  30. Stephen A. Edwards, Vimal Kapadia, Michael Halasz
    Compiling Esterel into Static Discrete-Event Code. [Citation Graph (0, 0)][DBLP]
    Electr. Notes Theor. Comput. Sci., 2006, v:153, n:4, pp:117-131 [Journal]
  31. Jia Zeng, Chuck Mitchell, Stephen A. Edwards
    A Domain-Specific Language for Generating Dataflow Analyzers. [Citation Graph (0, 0)][DBLP]
    Electr. Notes Theor. Comput. Sci., 2006, v:164, n:2, pp:103-119 [Journal]
  32. Albert Benveniste, Paul Caspi, Stephen A. Edwards, Nicolas Halbwachs, Paul Le Guernic, Robert de Simone
    The synchronous languages 12 years later. [Citation Graph (0, 0)][DBLP]
    Proceedings of the IEEE, 2003, v:91, n:1, pp:64-83 [Journal]
  33. Stephen A. Edwards, Edward A. Lee
    The semantics and execution of a synchronous block-diagram language. [Citation Graph (0, 0)][DBLP]
    Sci. Comput. Program., 2003, v:48, n:1, pp:21-42 [Journal]
  34. Stephen A. Edwards
    An Esterel compiler for large control-dominated systems. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 2002, v:21, n:2, pp:169-183 [Journal]
  35. Stephen A. Edwards
    Tutorial: Compiling concurrent languages for sequential processors. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2003, v:8, n:2, pp:141-187 [Journal]
  36. Stephen A. Edwards, Olivier Tardieu
    SHIM: a deterministic model for heterogeneous embedded systems. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2006, v:14, n:8, pp:854-867 [Journal]
  37. Stephen A. Edwards, Edward A. Lee
    The Case for the Precision Timed (PRET) Machine. [Citation Graph (0, 0)][DBLP]
    DAC, 2007, pp:264-265 [Conf]
  38. Olivier Tardieu, Stephen A. Edwards
    R-SHIM: deterministic concurrency with recursion and shared variables. [Citation Graph (0, 0)][DBLP]
    MEMOCODE, 2006, pp:202- [Conf]
  39. Stephen A. Edwards
    The Challenges of Hardware Synthesis from C-Like Languages [Citation Graph (0, 0)][DBLP]
    CoRR, 2007, v:0, n:, pp:- [Journal]

  40. Predictable programming on a precision timed architecture. [Citation Graph (, )][DBLP]


  41. Compile-Time Analysis and Specialization of Clocks in Concurrent Programs. [Citation Graph (, )][DBLP]


  42. Programming Shared Memory Multiprocessors with Deterministic Message-Passing Concurrency: Compiling SHIM to Pthreads. [Citation Graph (, )][DBLP]


  43. Compositional deadlock detection for rendezvous communication. [Citation Graph (, )][DBLP]


  44. A deterministic multi-way rendezvous library for haskell. [Citation Graph (, )][DBLP]


  45. Static Deadlock Detection for the SHIM Concurrent Language. [Citation Graph (, )][DBLP]


  46. Static elaboration of recursion for concurrent software. [Citation Graph (, )][DBLP]


  47. Flexible pointer analysis using assign-fetch graphs. [Citation Graph (, )][DBLP]


  48. Celling SHIM: compiling deterministic concurrency to a heterogeneous multicore. [Citation Graph (, )][DBLP]


  49. Concurrency and Communication: Lessons from the SHIM Project. [Citation Graph (, )][DBLP]


  50. Instantaneous Transitions in Esterel. [Citation Graph (, )][DBLP]


Search in 0.003secs, Finished in 0.310secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002