The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Pedro López: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Pedro Morillo, Pedro López, Juan M. Orduña, Marcos Fernández
    A New Genetic Approach for the Partitioning Problem in Distributed Virtual Environment Systems. [Citation Graph (0, 0)][DBLP]
    CAEPIA, 2003, pp:76-85 [Conf]
  2. Federico Silla, Manuel P. Malumbres, Antonio Robles, Pedro López, José Duato
    Efficient Adaptive Routing in Networks of Workstations with Irregular Topology. [Citation Graph (0, 0)][DBLP]
    CANPC, 1997, pp:46-60 [Conf]
  3. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato
    Edinet: An Execution Driven Interconnection Network Simulator for DSM Systems. [Citation Graph (0, 0)][DBLP]
    Computer Performance Evaluation (Tools), 1998, pp:336-339 [Conf]
  4. Marina Alonso, Juan Miguel Martínez, Vicente Santonja, Pedro López
    Reducing Power Consumption in Interconnection Networks by Dynamically Adjusting Link Width. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2004, pp:882-890 [Conf]
  5. Elvira Baydal, Pedro López
    A Robust Mecahnism for Congestion Control: INC. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2003, pp:958-968 [Conf]
  6. Elvira Baydal, Pedro López, José Duato
    Congestion Control Based on Transmission Times. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2002, pp:781-790 [Conf]
  7. F. Gilabert, María Engracia Gómez, Pedro López, José Duato
    On the Influence of the Selection Function on the Performance of Fat-Trees. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2006, pp:864-873 [Conf]
  8. María Engracia Gómez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Evaluation of Routing Algorithms for InfiniBand Networks (Research Note). [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2002, pp:775-780 [Conf]
  9. Pedro López, Jose Flich, Antonio Robles
    Low-Fragmentation Mapping Strategies for Linear Forwarding Tables in InfiniBandTM. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2003, pp:947-957 [Conf]
  10. María Engracia Gómez, José Duato, Jose Flich, Pedro López, Antonio Robles, Nils Agne Nordbotten, Tor Skeie, Olav Lysne
    A New Adaptive Fault-Tolerant Routing Methodology for Direct Networks. [Citation Graph (0, 0)][DBLP]
    HiPC, 2004, pp:462-473 [Conf]
  11. Pedro López, Juan Miguel Martínez, José Duato
    A Very Efficient Distributed Deadlock Detection Mechanism for Wormhole Networks. [Citation Graph (0, 0)][DBLP]
    HPCA, 1998, pp:57-0 [Conf]
  12. Juan Miguel Martínez, Pedro López, José Duato
    Impact of Buffer Size on the Efficiency of Deadlock Detection. [Citation Graph (0, 0)][DBLP]
    HPCA, 1999, pp:315-0 [Conf]
  13. Tor Skeie, Olav Lysne, Jose Flich, Pedro López, Antonio Robles, José Duato
    LASH-TOR: A Generic Transition-Oriented Routing Algorithm. [Citation Graph (0, 0)][DBLP]
    ICPADS, 2004, pp:595-604 [Conf]
  14. José Duato, Pedro López, Federico Silla, Sudhakar Yalamanchili
    A High Performance Router Architecture for Interconnection Networks. [Citation Graph (0, 0)][DBLP]
    ICPP, Vol. 1, 1996, pp:61-68 [Conf]
  15. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato
    Improving the Performance of Regular Networks with Source Routing. [Citation Graph (0, 0)][DBLP]
    ICPP, 2000, pp:353-361 [Conf]
  16. Jose Flich, Manuel P. Malumbres, Pedro López, José Duato
    Performance Evaluation of Networks of Workstations with Hardware Shared Memory Model Using Execution-Driven Simulation. [Citation Graph (0, 0)][DBLP]
    ICPP, 1999, pp:146-153 [Conf]
  17. María Engracia Gómez, Jose Flich, Pedro López, Antonio Robles, José Duato, Nils Agne Nordbotten, Olav Lysne, Tor Skeie
    An Effective Fault-Tolerant Routing Methodology for Direct Networks. [Citation Graph (0, 0)][DBLP]
    ICPP, 2004, pp:222-231 [Conf]
  18. Pedro López, Jose Flich, José Duato
    Deadlock-Free Routing in InfiniBand through Destination Renaming. [Citation Graph (0, 0)][DBLP]
    ICPP, 2001, pp:427-436 [Conf]
  19. Pedro López, Juan Miguel Martínez, José Duato
    DRIL: Dynamically Reduced Message Injection Limitation Mechanism for Wormhole Networks. [Citation Graph (0, 0)][DBLP]
    ICPP, 1998, pp:535-542 [Conf]
  20. José Carlos Sancho, Antonio Robles, Pedro López, Jose Flich, José Duato
    Routing in InfiniBandTM Torus Network Topologie. [Citation Graph (0, 0)][DBLP]
    ICPP, 2003, pp:509-518 [Conf]
  21. Jose Flich, Manuel P. Malumbres, Pedro López, José Duato
    Performance evaluation of a new routing strategy for irregular networks with source routing. [Citation Graph (0, 0)][DBLP]
    ICS, 2000, pp:34-43 [Conf]
  22. Marina Alonso, Juan Miguel Martínez, Vicente Santonja, Pedro López, José Duato
    Power Saving in Regular Interconnection Networks Built with High-Degree Switches. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2005, pp:- [Conf]
  23. Elvira Baydal, Pedro López, José Duato
    A Simple and Efficient Mechanism to Prevent Saturation in Wormhole Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2000, pp:617-622 [Conf]
  24. Salvador Coll, Jose Flich, Manuel P. Malumbres, Pedro López, José Duato, Francisco J. Mora
    A First Implementation of In-Transit Buffers on Myrinet GM Software. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2001, pp:162- [Conf]
  25. José Duato, Pedro López, Sudhakar Yalamanchili
    Deadlock- and Livelock-Free Routing Protocols for Wave Switching. [Citation Graph (0, 0)][DBLP]
    IPPS, 1997, pp:570-577 [Conf]
  26. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki
    Improving Network Performance by Reducing Network Contention in Source-Based COWs with a Low Path-Computation Overhead. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2001, pp:70- [Conf]
  27. Jose Flich, Manuel P. Malumbres, Pedro López, José Duato
    Improving Routing Performance in Myrinet Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2000, pp:27-32 [Conf]
  28. María Engracia Gómez, Jose Flich, Antonio Robles, Pedro López, José Duato
    VOQSW: A Methodology to Reduce HOL Blocking in InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2003, pp:46- [Conf]
  29. María Engracia Gómez, Pedro López, José Duato
    A Memory-Effective Routing Strategy for Regular Interconnection Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2005, pp:- [Conf]
  30. Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Supporting Fully Adaptive Routing in InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2003, pp:44- [Conf]
  31. Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato, Michihiro Koibuchi
    In-Order Packet Delivery in Interconnection Networks using Adaptive Routing. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2005, pp:- [Conf]
  32. José Miguel Montañana, Jose Flich, Antonio Robles, Pedro López, José Duato
    A Transition-Based Fault-Tolerant Routing Methodology for InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2004, pp:- [Conf]
  33. José Carlos Sancho, Juan Carlos Martínez, Antonio Robles, Pedro López, Jose Flich, José Duato
    Performance Evaluation of COWs under Real Parallel Application. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2003, pp:202- [Conf]
  34. Marina Alonso, Salvador Coll, Juan Miguel Martínez, Vicente Santonja, Pedro López, José Duato
    Dynamic power saving in fat-tree interconnection networks using on/off links. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2006, pp:- [Conf]
  35. Elvira Baydal, Pedro López, José Duato
    Avoiding Network Congestion with Local Information. [Citation Graph (0, 0)][DBLP]
    ISHPC, 2002, pp:35-48 [Conf]
  36. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki
    Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing. [Citation Graph (0, 0)][DBLP]
    ISHPC, 2000, pp:300-309 [Conf]
  37. Jose Flich, Pedro López, José Carlos Sancho, Antonio Robles, José Duato
    Improving InfiniBand Routing through Multiple Virtual Networks. [Citation Graph (0, 0)][DBLP]
    ISHPC, 2002, pp:49-63 [Conf]
  38. Juan Carlos Martínez, Federico Silla, Pedro López, José Duato
    On the Influence of the Selection Function on the Performance of Networks of Workstations. [Citation Graph (0, 0)][DBLP]
    ISHPC, 2000, pp:292-299 [Conf]
  39. María Engracia Gómez, Pedro López, José Duato
    A Memory-Effective Fault-Tolerant Routing Strategy for Direct Interconnection Networks. [Citation Graph (0, 0)][DBLP]
    ISPDC, 2005, pp:341-348 [Conf]
  40. Nils Agne Nordbotten, María Engracia Gómez, Jose Flich, Pedro López, Antonio Robles, Tor Skeie, Olav Lysne, José Duato
    A Fully Adaptive Fault-Tolerant Routing Methodology Based on Intermediate Nodes. [Citation Graph (0, 0)][DBLP]
    NPC, 2004, pp:341-356 [Conf]
  41. Pedro López, José Duato
    Deadlock-Free Adaptive Routing Algorithms for the 3D-Torus: Limitations and Solutions. [Citation Graph (0, 0)][DBLP]
    PARLE, 1993, pp:684-687 [Conf]
  42. José Duato, Pedro López
    Performance Evaluation of Adaptive Routing Algorithms for k-ary-n-cubes. [Citation Graph (0, 0)][DBLP]
    PCRCW, 1994, pp:45-59 [Conf]
  43. Pedro López, Juan Miguel Martínez, José Duato, Fabrizio Petrini
    On the Reduction of Deadlock Frequency by Limiting Message Injection in Wormhole Networks. [Citation Graph (0, 0)][DBLP]
    PCRCW, 1997, pp:295-307 [Conf]
  44. Rosa Alcover, Pedro López, José Duato, Luisa Zunica
    Interconnection Network Design: A Statistical Analysis of Interactions between Factors. [Citation Graph (0, 0)][DBLP]
    PDP, 1996, pp:211-218 [Conf]
  45. Elvira Baydal, Pedro López, José Duato
    Increasing the Adaptivity of Routing Algorithms for k-ary n-cubes. [Citation Graph (0, 0)][DBLP]
    PDP, 2002, pp:455-462 [Conf]
  46. Jose Flich, Manuel P. Malumbres, Pedro López, José Duato
    Removing the Latency Overhead of the ITB Mechanism in COWs with Source Routing. [Citation Graph (0, 0)][DBLP]
    PDP, 2002, pp:463-470 [Conf]
  47. Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Supporting Adaptive Routing in InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    PDP, 2003, pp:165-172 [Conf]
  48. Joan-Lluis Ferrer, Elvira Baydal, Antonio Robles, Pedro López, José Duato
    Congestion Management in MINs through Marked and Validated Packets. [Citation Graph (0, 0)][DBLP]
    PDP, 2007, pp:254-261 [Conf]
  49. Gaspar Mora, Jose Flich, José Duato, Pedro López, Elvira Baydal, Olav Lysne
    Towards an efficient switch architecture for high-radix switches. [Citation Graph (0, 0)][DBLP]
    ANCS, 2006, pp:11-20 [Conf]
  50. Pedro López, José Duato
    Deadlock-Free Fully-Adaptive Minimal Routing Algorithms: Limitations and Solutions. [Citation Graph (0, 0)][DBLP]
    Computers and Artificial Intelligence, 1995, v:14, n:2, pp:- [Journal]
  51. María Engracia Gómez, José Duato, Jose Flich, Pedro López, Antonio Robles, Nils Agne Nordbotten, Olav Lysne, Tor Skeie
    An Efficient Fault-Tolerant Routing Methodology for Meshes and Tori. [Citation Graph (0, 0)][DBLP]
    Computer Architecture Letters, 2004, v:3, n:, pp:- [Journal]
  52. Michihiro Koibuchi, Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Enforcing in-order packet delivery in system area networks with adaptive routing. [Citation Graph (0, 0)][DBLP]
    J. Parallel Distrib. Comput., 2005, v:65, n:10, pp:1223-1236 [Journal]
  53. María Engracia Gómez, Pedro López, José Duato
    FIR: An efficient routing strategy for tori and meshes. [Citation Graph (0, 0)][DBLP]
    J. Parallel Distrib. Comput., 2006, v:66, n:7, pp:907-921 [Journal]
  54. Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Supporting adaptive routing in IBA switches. [Citation Graph (0, 0)][DBLP]
    Journal of Systems Architecture, 2003, v:49, n:10-11, pp:441-456 [Journal]
  55. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki
    Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2003, v:52, n:9, pp:1134-1153 [Journal]
  56. María Engracia Gómez, Nils Agne Nordbotten, Jose Flich, Pedro López, Antonio Robles, José Duato, Tor Skeie, Olav Lysne
    A Routing Methodology for Achieving Fault Tolerance in Direct Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2006, v:55, n:4, pp:400-415 [Journal]
  57. Elvira Baydal, Pedro López, José Duato
    A Family of Mechanisms for Congestion Control in Wormhole Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2005, v:16, n:9, pp:772-784 [Journal]
  58. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato
    Boosting the Performance of Myrinet Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2002, v:13, n:7, pp:693-709 [Journal]
  59. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato
    Boosting the Performance of Myrinet Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2002, v:13, n:11, pp:1166-1182 [Journal]
  60. Juan M. Martínez-Rubio, Pedro López, José Duato
    A Cost-Effective Approach to Deadlock Handling in Wormhole Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2001, v:12, n:7, pp:716-729 [Journal]
  61. Juan M. Martínez-Rubio, Pedro López, José Duato
    FC3D: Flow Control-Based Distributed Deadlock Detection Mechanism for True Fully Adaptive Routing in Wormhole Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2003, v:14, n:8, pp:765-779 [Journal]
  62. Marina Alonso, Salvador Coll, Vicente Santonja, Juan Miguel Martínez, Pedro López, José Duato
    Power-Aware Fat-Tree Networks Using On/Off Links. [Citation Graph (0, 0)][DBLP]
    HPCC, 2007, pp:472-483 [Conf]
  63. Josep Fernández, Pedro López, Joan Oliva
    A Distributed Multirobot System Based On Edutainment Robots. [Citation Graph (0, 0)][DBLP]
    ICRA, 2005, pp:4260-4265 [Conf]
  64. J. Fernández, Pedro López, Joan Oliva
    A Distributed Multirobot System Based on Edutainment Robots. [Citation Graph (0, 0)][DBLP]
    ICRA, 2005, pp:4271-4276 [Conf]
  65. Crispín Gómez, F. Gilabert, María Engracia Gómez, Pedro López, José Duato
    Deterministic versus Adaptive Routing in Fat-Trees. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2007, pp:1-8 [Conf]
  66. Crispín Gómez, María Engracia Gómez, Pedro López, José Duato
    An Efficient Fault-Tolerant Routing Methodology for Fat-Tree Interconnection Networks. [Citation Graph (0, 0)][DBLP]
    ISPA, 2007, pp:509-522 [Conf]
  67. Jose Flich, A. Mejia, Pedro López, José Duato
    Region-Based Routing: An Efficient Routing Mechanism to Tackle Unreliable Hardware in Network on Chips. [Citation Graph (0, 0)][DBLP]
    NOCS, 2007, pp:183-194 [Conf]

  68. Anaphase: A Fine-Grain Thread Decomposition Scheme for Speculative Multithreading. [Citation Graph (, )][DBLP]


  69. VB-MT: Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors. [Citation Graph (, )][DBLP]


  70. Assessing fat-tree topologies for regular network-on-chip design under nanoscale technology constraints. [Citation Graph (, )][DBLP]


  71. An Efficient Low-Complexity Alternative to the ROB for Out-of-Order Retirement of Instructions. [Citation Graph (, )][DBLP]


  72. Reducing the Number of Bits in the BTB to Attack the Branch Predictor Hot-Spot. [Citation Graph (, )][DBLP]


  73. Reducing Packet Dropping in a Bufferless NoC. [Citation Graph (, )][DBLP]


  74. On the Influence of the Packet Marking and Injection Control Schemes in Congestion Management for MINs. [Citation Graph (, )][DBLP]


  75. Paired ROBs: A Cost-Effective Reorder Buffer Sharing Strategy for SMT Processors. [Citation Graph (, )][DBLP]


  76. The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures. [Citation Graph (, )][DBLP]


  77. Boosting single-thread performance in multi-core systems through fine-grain multi-threading. [Citation Graph (, )][DBLP]


  78. An hybrid eDRAM/SRAM macrocell to implement first-level data caches. [Citation Graph (, )][DBLP]


  79. Exploiting Wiring Resources on Interconnection Network: Increasing Path Diversity. [Citation Graph (, )][DBLP]


  80. A Congestion Control Mechanism for Wormhole Networks. [Citation Graph (, )][DBLP]


  81. A Scalable and Early Congestion Management Mechanism for MINs. [Citation Graph (, )][DBLP]


  82. Multi2Sim: A Simulation Framework to Evaluate Multicore-Multithreaded Processors. [Citation Graph (, )][DBLP]


  83. Applying the zeros switch-off technique to reduce static energy in data caches. [Citation Graph (, )][DBLP]


  84. Exploring High-Dimensional Topologies for NoC Design Through an Integrated Analysis and Synthesis Framework. [Citation Graph (, )][DBLP]


  85. Leakage Current Reduction in Data Caches on Embedded Systems. [Citation Graph (, )][DBLP]


Search in 0.010secs, Finished in 0.428secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002