The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Francky Catthoor: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. José Manuel Velasco, David Atienza, Francky Catthoor, Francisco Tirado, Katzalin Olcoz, Jose Manuel Mendias
    Garbage Collector Refinement for New Dynamic Multimedia Applications on Embedded Systems. [Citation Graph (0, 0)][DBLP]
    Interaction between Compilers and Computer Architectures, 2004, pp:25-32 [Conf]
  2. Peter Vanbroekhoven, Gerda Janssens, Maurice Bruynooghe, Francky Catthoor
    Transformation to Dynamic Single Assignment Using a Simple Data Flow Analysis. [Citation Graph (0, 0)][DBLP]
    APLAS, 2005, pp:330-346 [Conf]
  3. Praveen Raghavan, Satyakiran Munaga, Estela Rey Ramos, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest
    A Customized Cross-Bar for Data-Shuffling in Domain-Specific SIMD Processors. [Citation Graph (0, 0)][DBLP]
    ARCS, 2007, pp:57-68 [Conf]
  4. Florin Balasa, Per Gunnar Kjeldsberg, Martin Palkovic, Arnout Vandecappelle, Francky Catthoor
    Loop Transformation Methodologies for Array-Oriented Memory Management. [Citation Graph (0, 0)][DBLP]
    ASAP, 2006, pp:205-212 [Conf]
  5. Andy Lambrechts, Praveen Raghavan, Anthony Leroy, Guillermo Talavera, Tom Vander Aa, Murali Jayapala, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina
    Power Breakdown Analysis for a Heterogeneous NoC Platform Running a Video Application. [Citation Graph (0, 0)][DBLP]
    ASAP, 2005, pp:179-184 [Conf]
  6. José Ignacio Gómez, Paul Marchal, Sven Verdoolaege, Luis Piñuel, Francky Catthoor
    Optimizing the Memory Bandwidth with Loop Morphing. [Citation Graph (0, 0)][DBLP]
    ASAP, 2004, pp:213-223 [Conf]
  7. Eddy de Greef, Francky Catthoor, Hugo De Man
    Array Placement for Storage Size Reduction in Embedded Multimedia Systems. [Citation Graph (0, 0)][DBLP]
    ASAP, 1997, pp:66-75 [Conf]
  8. Antoni Portero, Guillermo Talavera, Marius Monton, Borja Martínez, Francky Catthoor, Jordi Carrabina
    Dynamic Voltage Scaling for Power Efficient MPEG4-SP Implementation. [Citation Graph (0, 0)][DBLP]
    ASAP, 2006, pp:257-260 [Conf]
  9. Sven Verdoolaege, Maurice Bruynooghe, Gerda Janssens, Francky Catthoor
    Multi-dimentsional Incremetal Loops Fusion for Data Locality. [Citation Graph (0, 0)][DBLP]
    ASAP, 2003, pp:17-27 [Conf]
  10. Tom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Francky Catthoor, Henk Corporaal
    Instruction buffering exploration for low energy VLIWs with instruction clusters. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2004, pp:824-829 [Conf]
  11. Javed Absar, Francky Catthoor
    Analysis of scratch-pad and data-cache performance using statistical methods. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2006, pp:820-825 [Conf]
  12. Jin Guo, Antonis Papanikolaou, Pol Marchal, Francky Catthoor
    Physical design implementation of segmented buses to reduce communication energy. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2006, pp:42-47 [Conf]
  13. Qubo Hu, Arnout Vandecappelle, Martin Palkovic, Per Gunnar Kjeldsberg, Erik Brockmeyer, Francky Catthoor
    Hierarchical memory size estimation for loop fusion and loop shifting in data-dominated applications. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2006, pp:606-611 [Conf]
  14. Zhe Ma, Francky Catthoor, Johan Vounckx
    Hierarchical task scheduler for interleaving subtasks on heterogeneous multiprocessor platforms. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:952-955 [Conf]
  15. Martin Palkovic, Miguel Miranda, Kristof Denolf, Peter Vos, Francky Catthoor
    Systematic Address and Control Code Transformations for Performance Optimisation of a MPEG-4 Video Decoder. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2002, pp:547-552 [Conf]
  16. Hua Wang, Antonis Papanikolaou, Miguel Miranda, Francky Catthoor
    A global bus power optimization methodology for physical design of memory dominated systems by coupling bus segmentation and activity driven block placement. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2004, pp:759-761 [Conf]
  17. Koen Danckaert, Francky Catthoor, Hugo De Man
    A preprocessing step for global loop transformations for data transfer optimization. [Citation Graph (0, 0)][DBLP]
    CASES, 2000, pp:34-40 [Conf]
  18. Peng Yang, Dirk Desmet, Francky Catthoor, Diederik Verkest
    Dynamic scheduling of concurrent tasks with cost performance trade-off. [Citation Graph (0, 0)][DBLP]
    CASES, 2000, pp:103-109 [Conf]
  19. K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens
    Verification of Source Code Transformations by Program Equivalence Checking. [Citation Graph (0, 0)][DBLP]
    CC, 2005, pp:221-236 [Conf]
  20. Sven Verdoolaege, Kristof Beyls, Maurice Bruynooghe, Francky Catthoor
    Experiences with Enumeration of Integer Projections of Parametric Polytopes. [Citation Graph (0, 0)][DBLP]
    CC, 2005, pp:91-105 [Conf]
  21. Francky Catthoor, M. Van Swaalj, J. Rosseel, Hugo De Man
    Array design methodologies for real-time signal processing in the CATHEDRAL-IV synthesis environment. [Citation Graph (0, 0)][DBLP]
    Algorithms and Parallel VLSI Architectures, 1991, pp:211-222 [Conf]
  22. M. Van Swaalj, Francky Catthoor, Hugo De Man
    Signal analysis and signal transformations for ASIC regular array architecture synthesis. [Citation Graph (0, 0)][DBLP]
    Algorithms and Parallel VLSI Architectures, 1991, pp:223-232 [Conf]
  23. Antonis Papanikolaou, Miguel Miranda, Francky Catthoor
    Overcoming the "Memory Wall" by improved system design exploration and a link to process technology options. [Citation Graph (0, 0)][DBLP]
    Conf. Computing Frontiers, 2004, pp:202-211 [Conf]
  24. Koen Danckaert, Francky Catthoor, Hugo De Man
    System level memory optimization for hardware-software co-design. [Citation Graph (0, 0)][DBLP]
    CODES, 1997, pp:55-64 [Conf]
  25. Paul Marchal, José Ignacio Gómez, Francky Catthoor
    Optimizing the memory bandwidth with loop fusion. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2004, pp:188-193 [Conf]
  26. Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas
    Storage requirement estimation for data intensive applications with partially fixed execution ordering. [Citation Graph (0, 0)][DBLP]
    CODES, 2000, pp:56-60 [Conf]
  27. Antonis Papanikolaou, F. Lobmaier, Hua Wang, Miguel Miranda, Francky Catthoor
    A system-level methodology for fully compensating process variability impact of memory organizations in periodic applications. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2005, pp:117-122 [Conf]
  28. Anthony Leroy, Paul Marchal, Adelina Shickova, Francky Catthoor, Frédéric Robert, Diederik Verkest
    Spatial division multiplexing: a novel approach for guaranteed throughput on NoCs. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2005, pp:81-86 [Conf]
  29. Christian Tenllado, Luis Piñuel, Manuel Prieto, Francisco Tirado, Francky Catthoor
    Improving superword level parallelism support in modern compilers. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2005, pp:303-308 [Conf]
  30. Frederik Vermeulen, Francky Catthoor, Diederik Verkest, Hugo De Man
    Extended design reuse trade-offs in hardware-software architecture mapping. [Citation Graph (0, 0)][DBLP]
    CODES, 2000, pp:103-107 [Conf]
  31. Peng Yang, Francky Catthoor
    Pareto-optimization-based run-time task scheduling for embedded systems. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2003, pp:120-125 [Conf]
  32. Antonis Papanikolaou, T. Grabner, Miguel Miranda, P. Roussel, Francky Catthoor
    Yield prediction for architecture exploration in nanometer technology nodes: : a model and case study for memory organizations. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2006, pp:253-258 [Conf]
  33. Björn Debaillie, Bruno Bougard, Gregory Lenoir, Gerd Vandersteen, Francky Catthoor
    Energy-scalable OFDM transmitter design and control. [Citation Graph (0, 0)][DBLP]
    DAC, 2006, pp:536-541 [Conf]
  34. Wolfgang Eberle, Bruno Bougard, Sofie Pollin, Francky Catthoor
    From myth to methodology: cross-layer design for energy-efficient wireless communication. [Citation Graph (0, 0)][DBLP]
    DAC, 2005, pp:303-308 [Conf]
  35. Peeter Ellervee, Miguel Miranda, Francky Catthoor, Ahmed Hemani
    System-level data format exploration for dynamically allocated data structures. [Citation Graph (0, 0)][DBLP]
    DAC, 2000, pp:556-559 [Conf]
  36. Francesco Poletti, Paul Marchal, David Atienza, Luca Benini, Francky Catthoor, Jose Manuel Mendias
    An integrated hardware/software approach for run-time scratchpad management. [Citation Graph (0, 0)][DBLP]
    DAC, 2004, pp:238-243 [Conf]
  37. Werner Geurts, Francky Catthoor, Hugo De Man
    Time Constrained Allocation and Assignment Techniques for High Throughput Signal Processing. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:124-127 [Conf]
  38. Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas
    Detection of Partially Simultaneously Alive Signals in Storage Requirement Estimation for Data Intensive Applications. [Citation Graph (0, 0)][DBLP]
    DAC, 2001, pp:365-370 [Conf]
  39. Stefaan Note, Werner Geurts, Francky Catthoor, Hugo De Man
    Cathedral-III: Architecture-Driven High-level Synthesis for High Throughput DSP Applications. [Citation Graph (0, 0)][DBLP]
    DAC, 1991, pp:597-602 [Conf]
  40. Thierry J.-F. Omnés, Thierry Franzetti, Francky Catthoor
    Interactive co-design of high throughput embedded multimedia. [Citation Graph (0, 0)][DBLP]
    DAC, 2000, pp:328-331 [Conf]
  41. Julio Leao da Silva Jr., Chantal Ykman-Couvreur, Miguel Miranda, Kris Croes, Sven Wuytack, Gjalt G. de Jong, Francky Catthoor, Diederik Verkest, Paul Six, Hugo De Man
    Efficient System Exploration and Synthesis of Applications with Dynamic Data Storage and Intensive Data Transfer. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:76-81 [Conf]
  42. Arnout Vandecappelle, Miguel Miranda, Erik Brockmeyer, Francky Catthoor, Diederik Verkest
    Global Multimedia System Design Exploration Using Accurate Memory Organization Feedback. [Citation Graph (0, 0)][DBLP]
    DAC, 1999, pp:327-332 [Conf]
  43. Chantal Ykman-Couvreur, J. Lambrecht, Diederik Verkest, Francky Catthoor, Aristides Nikologiannis, George E. Konstantoulakis
    System-level performance optimization of the data queueing memory management in high-speed network processors. [Citation Graph (0, 0)][DBLP]
    DAC, 2002, pp:518-523 [Conf]
  44. Pieter Op de Beeck, C. Ghez, Erik Brockmeyer, Miguel Miranda, Francky Catthoor, Geert Deconinck
    Background Data Organisation for the Low-Power Implementation in Real-Time of a Digital Audio Broadcast Receiver on a SIMD Processor. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:11144-11145 [Conf]
  45. David Atienza, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris
    Dynamic Memory Management Design Methodology for Reduced Memory Footprint in Multimedia and Wireless Network Applications. [Citation Graph (0, 0)][DBLP]
    DATE, 2004, pp:532-537 [Conf]
  46. Mohammed Javed Absar, Francky Catthoor
    Compiler-Based Approach for Exploiting Scratch-Pad in Presence of Irregular Array Access. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:1162-1167 [Conf]
  47. Tanja Van Achteren, Geert Deconinck, Francky Catthoor, Rudy Lauwereins
    Data Reuse Exploration Techniques for Loop-Dominated Application. [Citation Graph (0, 0)][DBLP]
    DATE, 2002, pp:428-535 [Conf]
  48. Alexandros Bartzas, Stylianos Mamagkakis, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    Dynamic data type refinement methodology for systematic performance-energy design exploration of network applications. [Citation Graph (0, 0)][DBLP]
    DATE, 2006, pp:740-745 [Conf]
  49. Bruno Bougard, Francky Catthoor, Denis C. Daly, Anantha Chandrakasan, Wim Dehaene
    Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:196-201 [Conf]
  50. Erik Brockmeyer, Miguel Miranda, Henk Corporaal, Francky Catthoor
    Layer Assignment echniques for Low Energy in Multi-Layered Memory Organisations. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:11070-11075 [Conf]
  51. Zhe Ma, Francky Catthoor
    Scalable performance-energy trade-off exploration of embedded real-time systems on multiprocessor platforms. [Citation Graph (0, 0)][DBLP]
    DATE, 2006, pp:1073-1078 [Conf]
  52. Francky Catthoor
    Energy-Delay Efficient Data Storage and Transfer Architectures: Circuit Technology versus Design Methodology Solutions. [Citation Graph (0, 0)][DBLP]
    DATE, 1998, pp:709-0 [Conf]
  53. Francky Catthoor, Andrea Cuomo, Grant Martin, Patrick Groeneveld, Rudy Lauwereins, Karen Maex, Patrick van de Steeg, Ron Wilson
    How Can System-Level Design Solve the Interconnect Technology Scaling Problem? [Citation Graph (0, 0)][DBLP]
    DATE, 2004, pp:332-339 [Conf]
  54. Francky Catthoor, Nikil D. Dutt, Christoforos E. Kozyrakis
    How to Solve the Current Memory Access and Data Transfer Bottlenecks: At the Processor Architecture or at the Compiler Level? [Citation Graph (0, 0)][DBLP]
    DATE, 2000, pp:426-0 [Conf]
  55. Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:946-947 [Conf]
  56. Nicolas Genko, David Atienza, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida, Francky Catthoor
    A Complete Network-On-Chip Emulation Framework. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:246-251 [Conf]
  57. Sumit Gupta, Rajesh K. Gupta, Miguel Miranda, Francky Catthoor
    Analysis of High-Level Address Code Transformations for Programmable Processors. [Citation Graph (0, 0)][DBLP]
    DATE, 2000, pp:9-13 [Conf]
  58. Lieven Hollevoet, Andy Dewilde, Kristof Denolf, Francky Catthoor, Filip Louagie
    A Power Optimized Display Memory Organization for Handheld User Terminal. [Citation Graph (0, 0)][DBLP]
    DATE, 2004, pp:294-299 [Conf]
  59. Chidamber Kulkarni, C. Ghez, Miguel Miranda, Francky Catthoor, Hugo De Man
    Cache conscious data layout organization for embedded multimedia applications. [Citation Graph (0, 0)][DBLP]
    DATE, 2001, pp:686-693 [Conf]
  60. Stylianos Mamagkakis, David Atienza, Christophe Poucet, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias
    Automated exploration of pareto-optimal configurations in parameterized dynamic memory allocation for embedded systems. [Citation Graph (0, 0)][DBLP]
    DATE, 2006, pp:874-875 [Conf]
  61. Paul Marchal, José Ignacio Gómez, Luis Piñuel, Davide Bruni, Luca Benini, Francky Catthoor, Henk Corporaal
    SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:10516-10523 [Conf]
  62. Martin Palkovic, Miguel Miranda, Francky Catthoor
    Systematic Power-Performance Trade-Off in MPEG-4 by Means of Selective Function Inlining Steered by Address Optimization Opportunities. [Citation Graph (0, 0)][DBLP]
    DATE, 2002, pp:1072-1079 [Conf]
  63. Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest
    Distributed loop controller architecture for multi-threading in uni-threaded VLIW processors. [Citation Graph (0, 0)][DBLP]
    DATE, 2006, pp:339-344 [Conf]
  64. Javier Resano, Daniel Mozos, Francky Catthoor
    A Hybrid Prefetch Scheduling Heuristic to Minimize at Run-Time the Reconfiguration Overhead of Dynamically Reconfigurable Hardware. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:106-111 [Conf]
  65. K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens
    Functional Equivalence Checking for Verification of Algebraic Transformations on Array-Intensive Source Code. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:1310-1315 [Conf]
  66. Radoslaw Szymanek, Francky Catthoor, Krzysztof Kuchcinski
    Time-Energy Design Space Exploration for Multi-Layer Memory Architectures. [Citation Graph (0, 0)][DBLP]
    DATE, 2004, pp:318-323 [Conf]
  67. Frederik Vermeulen, Francky Catthoor, Hugo De Man, Diederik Verkest
    Formalized Three-Layer System-Level Reuse Model and Methodology for Embedded Data-Dominated Applications. [Citation Graph (0, 0)][DBLP]
    DATE, 2000, pp:92-98 [Conf]
  68. Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor, Karen Maex
    Systematic Analysis of Energy and Delay Impact of Very Deep Submicron Process Variability Effects in Embedded SRAM Modules. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:914-919 [Conf]
  69. Chun Wong, Paul Marchal, Peng Yang, Francky Catthoor, Hugo De Man, Aggeliki Prayati, Nathalie Cossement, Rudy Lauwereins, Diederik Verkest
    Task concurrency management methodology summary. [Citation Graph (0, 0)][DBLP]
    DATE, 2001, pp:813- [Conf]
  70. Rainer Schaffer, Renate Merker, Francky Catthoor
    Causality Constraints for Processor Architectures with Sub-Word Parallelism. [Citation Graph (0, 0)][DBLP]
    DSD, 2003, pp:82-89 [Conf]
  71. Stylianos Mamagkakis, David Atienza, Christophe Poucet, Francky Catthoor, Dimitrios Soudris
    Energy-efficient dynamic memory allocators at the middleware level of embedded systems. [Citation Graph (0, 0)][DBLP]
    EMSOFT, 2006, pp:215-222 [Conf]
  72. Tom Vander Aa, Francky Catthoor, Henk Corporaal, Geert Deconinck
    Combining Data and Instruction Memory Energy Optimizations for Embedded Applications. [Citation Graph (0, 0)][DBLP]
    ESTImedia, 2005, pp:121-126 [Conf]
  73. Mohammed Javed Absar, Pol Marchal, Francky Catthoor
    Data-Access Optimization of Embedded Systems Through Selective Inlining Transformation. [Citation Graph (0, 0)][DBLP]
    ESTImedia, 2005, pp:75-80 [Conf]
  74. David Atienza, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris
    Reducing memory accesses with a system-level design methodology in customized dynamic memory management. [Citation Graph (0, 0)][DBLP]
    ESTImedia, 2004, pp:93-98 [Conf]
  75. Francky Catthoor
    Low energy data and concurrency management of highly dynamic real-time multi-media systems. [Citation Graph (0, 0)][DBLP]
    ESTImedia, 2004, pp:4- [Conf]
  76. Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai
    Operation Shuffling for Low Energy L0 Cluster Generation on Heterogeneous VLIW Processors. [Citation Graph (0, 0)][DBLP]
    ESTImedia, 2005, pp:81-86 [Conf]
  77. Javier Resano, Diederik Verkest, Daniel Mozos, Serge Vernalde, Francky Catthoor
    Run-Time Scheduling for Multimedia Applications on Dynamically Reconfigurable Systems. [Citation Graph (0, 0)][DBLP]
    ESTImedia, 2003, pp:156-162 [Conf]
  78. Radoslaw Szymanek, Francky Catthoor, Krzysztof Kuchcinski
    Data assignment and access scheduling exploration for multi-layer memory architectures. [Citation Graph (0, 0)][DBLP]
    ESTImedia, 2004, pp:61-66 [Conf]
  79. Frank H. M. Franssen, Lode Nachtergaele, H. Samsom, Francky Catthoor, Hugo De Man
    Control flow optimization for fast system simulation and storage minimization. [Citation Graph (0, 0)][DBLP]
    EDAC-ETC-EUROASIC, 1994, pp:20-24 [Conf]
  80. Peeter Ellervee, Ahmed Hemani, Miguel Miranda, Francky Catthoor
    Exploiting Data Transfer Locality in Memory Mapping. [Citation Graph (0, 0)][DBLP]
    EUROMICRO, 1999, pp:1014-1021 [Conf]
  81. Koen Danckaert, Francky Catthoor, Hugo De Man
    System-Level Memory Management for Weakly Parallel Image Processing. [Citation Graph (0, 0)][DBLP]
    Euro-Par, Vol. II, 1996, pp:217-225 [Conf]
  82. Larry S. Davis, Jean-Marc Delosme, Francky Catthoor
    Parallel Image/Video Processing and Computer Arithmetic. [Citation Graph (0, 0)][DBLP]
    Euro-Par, Vol. II, 1996, pp:103-108 [Conf]
  83. Chidamber Kulkarni, Francky Catthoor, Hugo De Man
    Hardware Cache Optimization for Parallel Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 1998, pp:923-932 [Conf]
  84. Chidamber Kulkarni, Koen Danckaert, Francky Catthoor, Manish Gupta
    Interaction Between Data Parallel Compilation and Data Transfer and Storage Cost Minimization for Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 1999, pp:668-676 [Conf]
  85. Richard Stahl, Francky Catthoor, Rudy Lauwereins, Diederik Verkest
    Design-Time Data-Access Analysis for Parallel Java Programs with Shared-Memory Communication Model. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2004, pp:206-213 [Conf]
  86. Stamatis Vassiliadis, Francky Catthoor, Mateo Valero, Sorin Cotofana
    Topic 15+20: Multimedia and Embedded Systems. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2001, pp:651-652 [Conf]
  87. Javier Resano, Diederik Verkest, Daniel Mozos, Serge Vernalde, Francky Catthoor
    Application of Task Concurrency Management on Dynamically Reconfigurable Hardware Platforms. [Citation Graph (0, 0)][DBLP]
    FCCM, 2003, pp:278-279 [Conf]
  88. Javier Resano, Daniel Mozos, Diederik Verkest, Serge Vernalde, Francky Catthoor
    Run-Time Minimization of Reconfiguration Overhead in Dynamically Reconfigurable Systems. [Citation Graph (0, 0)][DBLP]
    FPL, 2003, pp:585-594 [Conf]
  89. Florin Balasa, Werner Geurts, Francky Catthoor, Hugo De Man
    Solving large scale assignment problems in high-level synthesis by approximative quadratic programming. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2001, pp:19-24 [Conf]
  90. Thierry J.-F. Omnés, Francky Catthoor, Thierry Franzetti
    Multi-dimensional Selection Techniques for Minimizing Memory Bandwidth in High-Throughput Embedded Systems. [Citation Graph (0, 0)][DBLP]
    HiPC, 2000, pp:323-334 [Conf]
  91. Richard Stahl, Robert Pasko, Francky Catthoor, Rudy Lauwereins, Diederik Verkest
    High-Level Data-Access Analysis for Characterisation of (Sub)task-Level Parallelism in Java. [Citation Graph (0, 0)][DBLP]
    HIPS, 2004, pp:31-40 [Conf]
  92. Florin Balasa, Francky Catthoor, Hugo De Man
    Exact evaluation of memory size for multi-dimensional signal processing systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1993, pp:669-672 [Conf]
  93. Florin Balasa, Francky Catthoor, Hugo De Man
    Dataflow-driven memory allocation for multi-dimensional signal processing systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:31-34 [Conf]
  94. Werner Geurts, Francky Catthoor, Hugo De Man
    Quadratic zero-one programming based synthesis of application specific data paths. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1993, pp:522-525 [Conf]
  95. Gert Goossens, Ivo Bolsens, Bill Lin, Francky Catthoor
    Design of heterogeneous ICs for mobile and personal communication systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:524-531 [Conf]
  96. Soren Hein, Vijay Nagasamy, Bernhard Rohfleisch, Christoforos E. Kozyrakis, Nikil D. Dutt, Francky Catthoor
    Embedded memories in system design - from technology to systems architecture. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1998, pp:1- [Conf]
  97. Gjalt G. de Jong, Bill Lin, Carl Verdonck, Sven Wuytack, Francky Catthoor
    Background memory management for dynamic data structure intensive processing systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:515-520 [Conf]
  98. Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas
    Automated Data Dependency Size Estimation with a Partially Fixed Execution Ordering. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:44-50 [Conf]
  99. Peter Vanbekbergen, Francky Catthoor, Gert Goossens, Hugo De Man
    Optimized Synthesis of Asynchronous Control Circuits from Graph-Theoretic Specifications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:184-187 [Conf]
  100. Eddy de Greef, Francky Catthoor, Hugo De Man
    Memory organization for video algorithms on programmable signal processors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:552-557 [Conf]
  101. Erik Brockmeyer, Francky Catthoor, Jan Bormans, Hugo De Man
    Code Transformations for Reduced Data Transfer and Storage in Low Power Realisations of MPEG-4 Full-Pel Motion Estimation. [Citation Graph (0, 0)][DBLP]
    ICIP (3), 1998, pp:985-989 [Conf]
  102. David Atienza, Marc Leeman, Francky Catthoor, Geert Deconinck, Jose Manuel Mendias, Vincenzo De Florio, Rudy Lauwereins
    Fast prototyping and refinement of complex dynamic data types in multimedia applications for consumer embedded devices. [Citation Graph (0, 0)][DBLP]
    ICME, 2004, pp:803-806 [Conf]
  103. Aggeliki Prayati, Chun Wong, Paul Marchal, Nathalie Cossement, Francky Catthoor, Rudy Lauwereins, Diederik Verkest, Hugo De Man, Alexios N. Birbas
    Task Concurrency Management Experiment for Power-Efficient Speed-up of Embedded MPEG4 IM1 Player. [Citation Graph (0, 0)][DBLP]
    ICPP Workshops, 2000, pp:453-460 [Conf]
  104. Richard Stahl, Francky Catthoor, Diederik Verkest
    Object-Distribution Analysis: Technique for Parallel Loop Distribution of Object-Oriented Programs. [Citation Graph (0, 0)][DBLP]
    ICPP Workshops, 2005, pp:153-160 [Conf]
  105. R. Mangharam, R. Rajkumar, Sofie Pollin, Francky Catthoor, Bruno Bougard, Liesbet Van der Perre, I. Moeman
    Optimal fixed and scalable energy management for wireless networks. [Citation Graph (0, 0)][DBLP]
    INFOCOM, 2005, pp:114-125 [Conf]
  106. Chidamber Kulkarni, Francky Catthoor, Hugo De Man
    Advanced Data Layout Optimization for Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    IPDPS Workshops, 2000, pp:186-193 [Conf]
  107. Chidamber Kulkarni, Francky Catthoor, Hugo De Man
    Code Transformations for Low Power Caching in Embedded Multimedia Processors. [Citation Graph (0, 0)][DBLP]
    IPPS/SPDP, 1998, pp:292-297 [Conf]
  108. Richard Stahl, Francky Catthoor, Diederik Verkest
    Object-Distribution Analysis for Program Decomposition and Re-Clustering. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2005, pp:- [Conf]
  109. Richard Stahl, Robert Pasko, Francky Catthoor, Rudy Lauwereins, Diederik Verkest
    High-Level Data-Access Analysis for Characterisation of (Sub)task-Level Parallelism in Java. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2004, pp:31-40 [Conf]
  110. E. Perez Ramo, Javier Resano, Daniel Mozos, Francky Catthoor
    A configuration memory hierarchy for fast reconfiguration with reduced energy consumption overhead. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2006, pp:- [Conf]
  111. Francky Catthoor, Ed F. Deprettere, Yu Hen Hu, Jan M. Rabaey, Heinrich Meyr, Lothar Thiele
    Is it Possible to achieve a Teraflop/s on a chip? From High Performance Algorithms to Architectures. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:129-136 [Conf]
  112. Nicolas Genko, David Atienza, Giovanni De Micheli, Luca Benini, Jose Manuel Mendias, Román Hermida, Francky Catthoor
    A novel approach for network on chip emulation. [Citation Graph (0, 0)][DBLP]
    ISCAS (3), 2005, pp:2365-2368 [Conf]
  113. Erik Brockmeyer, Arnout Vandecappelle, Francky Catthoor
    Systematic cycle budget versus system power trade-off: a new perspective on system exploration of real-time data-dominated applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:137-142 [Conf]
  114. Jim Burr, Anantha Chandrakasan, Fari Assaderaghi, Francky Catthoor, Frank Fox, Dave Greenhill, Deo Singh, Jim Sproch
    Low power design without compromise (panel). [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:293-294 [Conf]
  115. Edgar G. Daylight, Sven Wuytack, Chantal Ykman-Couvreur, Francky Catthoor
    Analyzing energy friendly steady state phases of dynamic application execution in terms of sparse data structures. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:76-79 [Conf]
  116. Jean-Philippe Diguet, Sven Wuytack, Francky Catthoor, Hugo De Man
    Formalized methodology for data reuse exploration in hierarchical memory mappings. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:30-35 [Conf]
  117. Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Erik Brockmeyer, Francky Catthoor, Mary Jane Irwin
    Estimating influence of data layout optimizations on SDRAM energy consumption. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2003, pp:40-43 [Conf]
  118. Kostas Masselos, Koen Danckaert, Francky Catthoor, Constantinos E. Goutis, Hugo De Man
    A methodology for power efficient partitioning of data-dominated algorithm specifications within performance constraints. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:270-272 [Conf]
  119. Curt Schurgers, Francky Catthoor, Marc Engels
    Energy efficient data transfer and storage organization for a MAP turbo decoder module. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:76-81 [Conf]
  120. Julio Leao da Silva Jr., Francky Catthoor, Diederik Verkest, Hugo De Man
    Power exploration for dynamic data types through virtual memory management refinement. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1998, pp:311-316 [Conf]
  121. Sven Wuytack, Francky Catthoor, Hugo De Man
    Transforming set data types to power optimal data structures. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:51-56 [Conf]
  122. Sven Wuytack, Francky Catthoor, Lode Nachtergaele, Hugo De Man
    Power exploration for data dominated video applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1996, pp:359-364 [Conf]
  123. C. Sanz, Manuel Prieto, Antonis Papanikolaou, Miguel Miranda, Francky Catthoor
    System-level process variability compensation on memory organizations of dynamic applications: a case study. [Citation Graph (0, 0)][DBLP]
    ISQED, 2006, pp:376-382 [Conf]
  124. Tanja Van Achteren, Rudy Lauwereins, Francky Catthoor
    Systematic Data Reuse Exploration Methodology for Irregular Access Patterns. [Citation Graph (0, 0)][DBLP]
    ISSS, 2000, pp:115-122 [Conf]
  125. Erik Brockmeyer, Arnout Vandecappelle, Sven Wuytack, Francky Catthoor
    Low Power Storage Cycle Budget Distribution Tool Support for Hierarchical Graphs. [Citation Graph (0, 0)][DBLP]
    ISSS, 2000, pp:200-206 [Conf]
  126. Francky Catthoor, Diederik Verkest, Erik Brockmeyer
    Proposal for Unified System Design Meta Flow in Task-Level and Instruction-Level Design Technology Research for Multi-Media Applications. [Citation Graph (0, 0)][DBLP]
    ISSS, 1998, pp:89-95 [Conf]
  127. Rudy Lauwereins, Chun Wong, Paul Marchal, Johan Vounckx, Patrick David, Stefaan Himpe, Francky Catthoor, Peng Yang
    Managing Dynamic Concurrent Tasks in Embedded Real-Time Multimedia Systems. [Citation Graph (0, 0)][DBLP]
    ISSS, 2002, pp:112-119 [Conf]
  128. Tycho van Meeuwen, Arnout Vandecappelle, Allert van Zelst, Francky Catthoor, Diederik Verkest
    System-level interconnect architecture exploration for custom memory organizations. [Citation Graph (0, 0)][DBLP]
    ISSS, 2001, pp:13-18 [Conf]
  129. Miguel Miranda, Francky Catthoor, Martin Janssen, Hugo De Man
    ADOPT: Efficient Hardware Address Generation in Distributed Memory Architectures. [Citation Graph (0, 0)][DBLP]
    ISSS, 1996, pp:20-25 [Conf]
  130. Miguel Miranda, C. Ghez, Chidamber Kulkarni, Francky Catthoor, Diederik Verkest
    Systematic speed-power memory data-layout exploration for cache controlled embedded multimedia applications. [Citation Graph (0, 0)][DBLP]
    ISSS, 2001, pp:107-112 [Conf]
  131. H. Samsom, Frank H. M. Franssen, Francky Catthoor, Hugo De Man
    System level verification of video and image processing specifications. [Citation Graph (0, 0)][DBLP]
    ISSS, 1995, pp:144-149 [Conf]
  132. Peter Slock, Sven Wuytack, Francky Catthoor, Gjalt G. de Jong
    Fast and Extensive System-Level Memory Exploration for ATM Applications. [Citation Graph (0, 0)][DBLP]
    ISSS, 1997, pp:74-81 [Conf]
  133. Sven Wuytack, Francky Catthoor, Gjalt G. de Jong, Bill Lin, Hugo De Man
    Flow Graph Balancing for Minimizing the Required Memory Bandwidth. [Citation Graph (0, 0)][DBLP]
    ISSS, 1996, pp:127-132 [Conf]
  134. Chantal Ykman-Couvreur, J. Lambrecht, Diederik Verkest, Francky Catthoor, Hugo De Man
    Exploration and Synthesis of Dynamic Data Sets in Telecom Network Applications. [Citation Graph (0, 0)][DBLP]
    ISSS, 1999, pp:85-93 [Conf]
  135. Lazaros Papadopoulos, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris
    Application - specific NoC platform design based on System Level Optimization. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2007, pp:311-316 [Conf]
  136. Jos van Sas, Francky Catthoor, Hugo De Man
    Optimized BIST Strategies for Programmable Data Paths Based on Cellular Automata. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:110-119 [Conf]
  137. Johannes Steensma, Francky Catthoor, Hugo De Man
    Partial Scan at the Register-Transfer Level. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:488-497 [Conf]
  138. Chantal Ykman-Couvreur, J. Lambrecht, A. Van Der Togt, Francky Catthoor
    Multi-ovjective abstract data type refinement for mapping tables in telecom network applications. [Citation Graph (0, 0)][DBLP]
    MSP/ISMM, 2002, pp:65-75 [Conf]
  139. Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor
    Advanced copy propagation for arrays. [Citation Graph (0, 0)][DBLP]
    LCTES, 2003, pp:24-33 [Conf]
  140. Frederik Vermeulen, Lode Nachtergaele, Francky Catthoor, Diederik Verkest, Hugo De Man
    Flexible hardware acceleration for multimedia oriented microprocessors. [Citation Graph (0, 0)][DBLP]
    MICRO, 2000, pp:171-177 [Conf]
  141. Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene
    On the Combined Impact of Soft and Medium Gate Oxide Breakdown and Process Variability on the Parametric Figures of SRAM components. [Citation Graph (0, 0)][DBLP]
    MTDT, 2006, pp:71-76 [Conf]
  142. Paul Marchal, Chun Wong, Aggeliki Prayati, Nathalie Cossement, Francky Catthoor, Rudy Lauwereins, Diederik Verkest, Hugo De Man
    Dynamic Memory Oriented Transformations in the MPEG4 IM1-Player on a Low Power Platform. [Citation Graph (0, 0)][DBLP]
    PACS, 2000, pp:40-50 [Conf]
  143. Christian Tenllado, Luis Piñuel, Manuel Prieto, Francky Catthoor
    Pack Transposition: Enhancing Superword Level Parallelism Exploitation. [Citation Graph (0, 0)][DBLP]
    PARCO, 2005, pp:573-580 [Conf]
  144. José Manuel Velasco, David Atienza, Katzalin Olcoz, Francky Catthoor
    Performance Evaluation of Barrier Techniques for Distributed Tracing Garbage Collectors. [Citation Graph (0, 0)][DBLP]
    PARCO, 2005, pp:549-556 [Conf]
  145. Rainer Schaffer, Renate Merker, Francky Catthoor
    Systematic Design of Programs with Sub-Word Parallelism. [Citation Graph (0, 0)][DBLP]
    PARELEC, 2002, pp:393-398 [Conf]
  146. Rainer Schaffer, Renate Merker, Francky Catthoor
    Derivation of Packing Instructions for Exploiting Sub-Word Parallelism. [Citation Graph (0, 0)][DBLP]
    PARELEC, 2006, pp:167-172 [Conf]
  147. Tom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Henk Corporaal, Francky Catthoor
    Instruction Buffering Exploration for Low Energy Embedded Processors. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2003, pp:409-419 [Conf]
  148. V. Ferentinos, M. Milia, Gauthier Lafruit, Jan Bormans, Francky Catthoor
    Memory Compaction and Power Optimization for Wavelet-Based Coders. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2003, pp:328-337 [Conf]
  149. David Atienza, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris
    Modular Construction and Power Modelling of Dynamic Memory Managers for Embedded Systems. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2004, pp:510-520 [Conf]
  150. David Atienza, Praveen Raghavan, José L. Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo
    Compiler-Driven Leakage Energy Reduction in Banked Register Files. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2006, pp:107-116 [Conf]
  151. Minas Dasygenis, Erik Brockmeyer, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    Improving the Memory Bandwidth Utilization Using Loop Transformations. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2005, pp:117-126 [Conf]
  152. Murali Jayapala, Tom Vander Aa, Francisco Barat, Francky Catthoor, Henk Corporaal, Geert Deconinck
    L0 Cluster Synthesis and Operation Shuffling. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2004, pp:311-321 [Conf]
  153. Murali Jayapala, Francisco Barat, Pieter Op de Beeck, Francky Catthoor, Geert Deconinck, Henk Corporaal
    A Low Energy Clustered Instruction Memory Hierarchy for Long Instruction Word Processors. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2002, pp:258-267 [Conf]
  154. Marc Leeman, David Atienza, Francky Catthoor, Vincenzo De Florio, Geert Deconinck, Jose Manuel Mendias, Rudy Lauwereins
    Power Estimation Approach of Dynamic Data Storage on a Hardware Software Boundary Level. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2003, pp:289-298 [Conf]
  155. Martin Palkovic, Erik Brockmeyer, Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor
    Systematic Preprocessing of Data Dependent Constructs for Embedded Systems. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2005, pp:89-98 [Conf]
  156. José Manuel Velasco, David Atienza, Katzalin Olcoz, Francky Catthoor, Francisco Tirado, Jose Manuel Mendias
    Energy Characterization of Garbage Collectors for Dynamic Applications on Embedded Systems. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2005, pp:69-78 [Conf]
  157. Daniele Paolo Scarpazza, Praveen Raghavan, David Novo, Francky Catthoor, Diederik Verkest
    Software Simultaneous Multi-Threading, a Technique to Exploit Task-Level Parallelism to Improve Instruction- and Data-Level Parallelism. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2006, pp:12-23 [Conf]
  158. Jiangbo Lu, Gauthier Lafruit, Francky Catthoor
    Streaming-Mode MB-Based Integral Image Techniques for Fast Multi-view Video Illumination Compensation. [Citation Graph (0, 0)][DBLP]
    PCM, 2006, pp:414-423 [Conf]
  159. Koen Danckaert, Francky Catthoor, Hugo De Man
    Platform Independent Data Transfer and Storage Exploration Illustrated on Parallel Cavity Detection Algorithm. [Citation Graph (0, 0)][DBLP]
    PDPTA, 1999, pp:1669-1675 [Conf]
  160. Koen Danckaert, Francky Catthoor, Hugo De Man
    A loop transformation approach for combined parallelization and data transfer and storage optimization. [Citation Graph (0, 0)][DBLP]
    PDPTA, 2000, pp:- [Conf]
  161. Tanja Van Achteren, Marleen Adé, Rudy Lauwereins, Marc Proesmans, Luc J. Van Gool, Jan Bormans, Francky Catthoor
    Transformations of a 3D Image Reconstruction Algorithm for Data Transfer and Storage Optimization. [Citation Graph (0, 0)][DBLP]
    IEEE International Workshop on Rapid System Prototyping, 1999, pp:81-86 [Conf]
  162. Min (Leon) Li, Tanja Van Achteren, Erik Brockmeyer, Francky Catthoor
    Statistical Performance Analysis and Estimation of Coarse Grain Parallel Multimedia Processing System. [Citation Graph (0, 0)][DBLP]
    IEEE Real Time Technology and Applications Symposium, 2006, pp:277-288 [Conf]
  163. Andy Lambrechts, Tom Vander Aa, Murali Jayapala, Guillermo Talavera, Anthony Leroy, Adelina Shickova, Francisco Barat, Bingfeng Mei, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina Bordoll
    Design Style Case Study for Embedded Multi Media Compute Nodes. [Citation Graph (0, 0)][DBLP]
    RTSS, 2004, pp:104-113 [Conf]
  164. Murali Jayapala, Tom Vander Aa, Francisco Barat, Geert Deconinck, Francky Catthoor, Henk Corporaal
    L0 buffer energy optimization through scheduling and exploration. [Citation Graph (0, 0)][DBLP]
    SAC, 2004, pp:905-906 [Conf]
  165. Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    Power, Performance and Area Exploration for Data Memory Assignment of Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    SAMOS, 2004, pp:540-549 [Conf]
  166. Marijn Temmerman, Edgar G. Daylight, Francky Catthoor, Serge Demeyer, Tom Dhaene
    Moving Up to the Modeling Level for the Transformation of Data Structures in Embedded Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    SAMOS, 2005, pp:445-454 [Conf]
  167. Stefaan Himpe, Francky Catthoor, Geert Deconinck
    Control Flow Analysis for Recursion Removal. [Citation Graph (0, 0)][DBLP]
    SCOPES, 2003, pp:101-116 [Conf]
  168. Athanasios Milidonis, Grigoris Dimitroulakos, Michalis D. Galanis, George Theodoridis, Constantinos E. Goutis, Francky Catthoor
    An Automated C++ Code and Data Partitioning Framework for Data Management of Data-Intensive Applications. [Citation Graph (0, 0)][DBLP]
    SCOPES, 2004, pp:122-136 [Conf]
  169. Martin Palkovic, Henk Corporaal, Francky Catthoor
    Global Memory Optimisation for Embedded Systems Allowed by Code Duplication. [Citation Graph (0, 0)][DBLP]
    SCOPES, 2005, pp:72-79 [Conf]
  170. Richard Stahl, Robert Pasko, Luc Rijnders, Diederik Verkest, Serge Vernalde, Rudy Lauwereins, Francky Catthoor
    Performance Analysis for Identification of (Sub-)Task-Level Parallelism in Java. [Citation Graph (0, 0)][DBLP]
    SCOPES, 2003, pp:313-328 [Conf]
  171. Peng Yang, Francky Catthoor
    Dynamic Mapping and Ordering Tasks of Embedded Real-Time Systems on Multiprocessor Platforms. [Citation Graph (0, 0)][DBLP]
    SCOPES, 2004, pp:167-181 [Conf]
  172. Jin Guo, Antonis Papanikolaou, Pol Marchal, Francky Catthoor
    Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture. [Citation Graph (0, 0)][DBLP]
    SLIP, 2006, pp:75-81 [Conf]
  173. Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex
    Global interconnect trade-off for technology over memory modules to application level: case study. [Citation Graph (0, 0)][DBLP]
    SLIP, 2003, pp:125-132 [Conf]
  174. Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex
    Interconnect exploration for future wire dominated technologies. [Citation Graph (0, 0)][DBLP]
    SLIP, 2002, pp:105-106 [Conf]
  175. Werner Geurts, Stefaan Note, Francky Catthoor, Hugo De Man
    Partitioning-Based Allocation of Dedicated Data-Paths in the Architectural Synthesis for High Throughput Applications. [Citation Graph (0, 0)][DBLP]
    VLSI, 1991, pp:193-202 [Conf]
  176. Koen Danckaert, Chidamber Kulkarni, Francky Catthoor, Hugo De Man, Vivek Tiwari
    A Systematic Approach for System Bus Load Reduction Applied to Medical Imaging. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 2001, pp:48-0 [Conf]
  177. Doris Keitel-Schulz, Norbert Wehn, Francky Catthoor, Preeti Ranjan Panda
    Embedded Memories in System Design: Technology, Application, Design and Tools. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 2001, pp:5-6 [Conf]
  178. Martin Palkovic, Miguel Miranda, Kristof Denolf, Peter Vos, Francky Catthoor
    Systematic Address and Control Code Transformations for Performance Optimisation of a MPEG-4 Video Decoder. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 2002, pp:547-552 [Conf]
  179. Rainer Schaffer, Renate Merker, Francky Catthoor
    Combining Background Memory Management and Regular Array Co-Partitioning, Illustrated on a Full Motion Estimation Kernel. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 2000, pp:104-109 [Conf]
  180. Remko Tronçon, Maurice Bruynooghe, Gerda Janssens, Francky Catthoor
    Storage Size Reduction by In-place Mapping of Arrays. [Citation Graph (0, 0)][DBLP]
    VMCAI, 2002, pp:167-181 [Conf]
  181. Nicolaas Tack, Gauthier Lafruit, Francky Catthoor, Rudy Lauwereins
    Pareto based optimization of multi-resolution geometry for real time rendering. [Citation Graph (0, 0)][DBLP]
    Web3D, 2005, pp:19-27 [Conf]
  182. Edgar G. Daylight, T. Fermentel, Chantal Ykman-Couvreur, Francky Catthoor
    Incorporating energy efficient data structures into modular software implementations for internet-based embedded systems. [Citation Graph (0, 0)][DBLP]
    Workshop on Software and Performance, 2002, pp:134-141 [Conf]
  183. Stylianos Mamagkakis, Christos Baloukas, David Atienza, Francky Catthoor, Dimitrios Soudris, José M. Mendías, Antonios Thanailakis
    Reducing Memory Fragmentation with Performance-Optimized Dynamic Memory Allocators in Network Applications. [Citation Graph (0, 0)][DBLP]
    WWIC, 2005, pp:354-364 [Conf]
  184. Stylianos Mamagkakis, Alexandros Mpartzas, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias, Antonios Thanailakis
    Design of Energy Efficient Wireless Networks Using Dynamic Data Type Refinement Methodology. [Citation Graph (0, 0)][DBLP]
    WWIC, 2004, pp:26-37 [Conf]
  185. Stylianos Mamagkakis, Christos Baloukas, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    Reducing memory fragmentation in network applications with dynamic memory allocators optimized for performance. [Citation Graph (0, 0)][DBLP]
    Computer Communications, 2006, v:29, n:13-14, pp:2612-2620 [Journal]
  186. Francky Catthoor, Koen Danckaert, Sven Wuytack, Nikil D. Dutt
    Code Transformations for Data Transfer and Storage Exploration Preprocessing in Multimedia Processors. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2001, v:18, n:3, pp:70-82 [Journal]
  187. Miroslav Cupák, Francky Catthoor, Hugo De Man
    Efficient System-Level Functional Verification Methodology for Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2003, v:20, n:2, pp:56-64 [Journal]
  188. Paul Marchal, Francky Catthoor, Davide Bruni, Luca Benini, José Ignacio Gómez, Luis Piñuel
    Integrated Task Scheduling and Data Assignment for SDRAMs in Dynamic Applications. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2004, v:21, n:5, pp:378-387 [Journal]
  189. Lode Nachtergaele, Francky Catthoor, Chidamber Kulkarni
    Random-Access Data Storage Components in Customized Architectures. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2001, v:18, n:3, pp:40-54 [Journal]
  190. Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau, Francky Catthoor, Arnout Vandecappelle, Erik Brockmeyer, Chidamber Kulkarni, Eddy de Greef
    Data Memory Organization and Optimizations in Application-Specific Systems. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2001, v:18, n:3, pp:56-68 [Journal]
  191. Rochit Rajsuman, Francky Catthoor
    Guest Editors' Intoduction: The New World of Large Embedded Memories. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2001, v:18, n:3, pp:3-4 [Journal]
  192. Javier Resano, Daniel Mozos, Diederik Verkest, Francky Catthoor
    A Reconfiguration Manager for Dynamically Reconfigurable Hardware. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2005, v:22, n:5, pp:452-460 [Journal]
  193. Jos van Sas, Francky Catthoor, Hugo De Man
    Test Algorithms for Double-Buffered Random Access and Pointer-Addressed Memories. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 1993, v:10, n:2, pp:34-44 [Journal]
  194. Peng Yang, Chun Wong, Paul Marchal, Francky Catthoor, Dirk Desmet, Diederik Verkest, Rudy Lauwereins
    Energy-Aware Runtime Scheduling for Embedded-Multiprocessor SOCs. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2001, v:18, n:5, pp:46-58 [Journal]
  195. Edgar G. Daylight, Bart Demoen, Francky Catthoor
    Formally Specifying Dynamic Data Structures for Embedded Software Design: an Initial Approach. [Citation Graph (0, 0)][DBLP]
    Electr. Notes Theor. Comput. Sci., 2004, v:108, n:, pp:99-112 [Journal]
  196. K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens
    Geometric Model Checking: An Automatic Verification Technique for Loop and Data Reuse Transformations. [Citation Graph (0, 0)][DBLP]
    Electr. Notes Theor. Comput. Sci., 2002, v:65, n:2, pp:- [Journal]
  197. David Atienza, Stylianos Mamagkakis, Francesco Poletti, Jose Manuel Mendias, Francky Catthoor, Luca Benini, Dimitrios Soudris
    Efficient system-level prototyping of power-aware dynamic memory managers for embedded systems. [Citation Graph (0, 0)][DBLP]
    Integration, 2006, v:39, n:2, pp:113-130 [Journal]
  198. Paul Marchal, Murali Jayapala, Samuel Xavier de Souza, Peng Yang, Francky Catthoor, Geert Deconinck
    Matador: An Exploration Environment for System-Design. [Citation Graph (0, 0)][DBLP]
    Journal of Circuits, Systems, and Computers, 2002, v:11, n:5, pp:503-536 [Journal]
  199. Chen-Yi Lee, Francky Catthoor, Hugo De Man
    Efficient VLSI Architectures for a High-Performance Digital Image Communication System. [Citation Graph (0, 0)][DBLP]
    IEEE Journal on Selected Areas in Communications, 1990, v:8, n:8, pp:1481-1491 [Journal]
  200. K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens
    An Automatic Verification Technique for Loop and Data Reuse Transformations based on Geometric Modeling of Programs. [Citation Graph (0, 0)][DBLP]
    J. UCS, 2003, v:9, n:3, pp:248-269 [Journal]
  201. Rainer Schaffer, Francky Catthoor, Renate Merker
    Combining Background Memory Management and Regular Array Co-Partitioning, Illustrated on a Full Motion Estimation Kernel. [Citation Graph (0, 0)][DBLP]
    Parallel Algorithms Appl., 2000, v:15, n:3-4, pp:201-228 [Journal]
  202. Eddy de Greef, Francky Catthoor, Hugo De Man
    Memory Size Reduction Through Storage Order Optimization for Embedded Parallel Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    Parallel Computing, 1997, v:23, n:12, pp:1811-1837 [Journal]
  203. M. F. X. B. van Swaaij, Francky Catthoor, Hugo De Man
    Deriving ASIC architectures for the Hough transform. [Citation Graph (0, 0)][DBLP]
    Parallel Computing, 1990, v:16, n:1, pp:113-121 [Journal]
  204. Florin Balasa, Frank H. M. Franssen, Francky Catthoor, Hugo De Man
    Transformation of Nested Loops with Modulo Indexing to Affine Recurrences. [Citation Graph (0, 0)][DBLP]
    Parallel Processing Letters, 1994, v:4, n:, pp:271-280 [Journal]
  205. Murali Jayapala, Francisco Barat, Tom Vander Aa, Francky Catthoor, Henk Corporaal, Geert Deconinck
    Clustered Loop Buffer Organization for Low Energy VLIW Embedded Processors. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2005, v:54, n:6, pp:672-683 [Journal]
  206. Chidamber Kulkarni, C. Ghez, Miguel Miranda, Francky Catthoor, Hugo De Man
    Cache Conscious Data Layout Organization for Conflict Miss Reduction in Embedded Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2005, v:54, n:1, pp:76-81 [Journal]
  207. Florin Balasa, Francky Catthoor, Hugo De Man
    Practical solutions for counting scalars and dependences in ATOMIUM-a memory management system for multidimensional signal processing. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 1997, v:16, n:2, pp:133-145 [Journal]
  208. Gaetano Borriello, Diederik Verkest, Francky Catthoor
    Guest Editorial. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 1999, v:18, n:1, pp:1-2 [Journal]
  209. Peeter Ellervee, Miguel Miranda, Francky Catthoor, Ahmed Hemani
    System-level data-format exploration for dynamically allocated datastructures. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:12, pp:1469-1472 [Journal]
  210. Werner Geurts, Francky Catthoor, Hugo De Man
    Quadratic zero-one programming-based synthesis of application-specific data paths. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 1995, v:14, n:1, pp:1-11 [Journal]
  211. Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas
    Data dependency size estimation for use in memory optimization. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 2003, v:22, n:7, pp:908-921 [Journal]
  212. Stefaan Note, Francky Catthoor, Gert Goossens, Hugo De Man
    Combined hardware selection and pipelining in high-performance data-path design. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 1992, v:11, n:4, pp:413-423 [Journal]
  213. Jos van Sas, Francky Catthoor, Hugo De Man
    Cellular automata based deterministic self-test strategies for programmable data paths. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 1994, v:13, n:7, pp:940-949 [Journal]
  214. Peter Vanbekbergen, Gert Goossens, Francky Catthoor, Hugo De Man
    Optimized synthesis of asynchronous control circuits from graph-theoretic specifications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 1992, v:11, n:11, pp:1426-1438 [Journal]
  215. Sven Wuytack, Francky Catthoor, Hugo De Man
    Transforming set data types to power optimal data structures. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 1996, v:15, n:6, pp:619-629 [Journal]
  216. Sven Wuytack, Julio Leao da Silva Jr., Francky Catthoor, Gjalt G. de Jong, Chantal Ykman-Couvreur
    Memory management for embedded network applications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 1999, v:18, n:5, pp:533-544 [Journal]
  217. Bart Vanhoof, Lode Nachtergaele, Gauthier Lafruit, Mercedes Peón, Bart Masschelein, Francky Catthoor, Jan Bormans, Ivo Bolsens
    A scalable MPEG-4 wavelet-based visual texture compression system with optimized memory organization. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Circuits Syst. Video Techn., 2003, v:13, n:4, pp:348-357 [Journal]
  218. Chantal Ykman-Couvreur, J. Lambrecht, A. Van Der Togt, Francky Catthoor, Hugo De Man
    System-level exploration of association table implementations in telecom network applications. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Embedded Comput. Syst., 2002, v:1, n:1, pp:106-140 [Journal]
  219. Margarida F. Jacome, Francky Catthoor
    Special issue on power-aware embedded computing. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Embedded Comput. Syst., 2003, v:2, n:3, pp:251-254 [Journal]
  220. Erik Brockmeyer, Lode Nachtergaele, Francky Catthoor, Jan Bormans, Hugo De Man
    Low Power Memory Storage and Transfer Organization for the MPEG-4 Full Pel Motion Estimation on a Multimedia Processor. [Citation Graph (0, 0)][DBLP]
    IEEE Transactions on Multimedia, 1999, v:1, n:2, pp:202-216 [Journal]
  221. Javed Absar, Francky Catthoor
    Reuse analysis of indirectly indexed arrays. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2006, v:11, n:2, pp:282-305 [Journal]
  222. Tanja Van Achteren, Francky Catthoor, Rudy Lauwereins, Geert Deconinck
    Search space definition and exploration for nonuniform data reuse opportunities in data-dominant applications. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2003, v:8, n:1, pp:125-139 [Journal]
  223. David Atienza, Jose Manuel Mendias, Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor
    Systematic dynamic memory management design methodology for reduced memory footprint. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2006, v:11, n:2, pp:465-489 [Journal]
  224. Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas
    Storage requirement estimation for optimized design of data intensive applications. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2004, v:9, n:2, pp:133-158 [Journal]
  225. Preeti Ranjan Panda, Francky Catthoor, Nikil D. Dutt, Koen Danckaert, Erik Brockmeyer, Chidamber Kulkarni, Arnout Vandecappelle, Per Gunnar Kjeldsberg
    Data and memory optimization techniques for embedded systems. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2001, v:6, n:2, pp:149-206 [Journal]
  226. Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Adonios Thanailakis
    A combined DMA and application-specific prefetching approach for tackling the memory latency bottleneck. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2006, v:14, n:3, pp:279-291 [Journal]
  227. Edgar G. Daylight, David Atienza, Arnout Vandecappelle, Francky Catthoor, José M. Mendías
    Memory-access-aware data structure transformations for embedded software with dynamic data accesses. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2004, v:12, n:3, pp:269-280 [Journal]
  228. Hua Wang, Miguel Miranda, Antonis Papanikolaou, Francky Catthoor, Wim Dehaene
    Variable tapered pareto buffer design and implementation allowing run-time configuration for low-power embedded SRAMs. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2005, v:13, n:10, pp:1127-1135 [Journal]
  229. Bruno Bougard, Sofie Pollin, Antoine Dejonghe, Francky Catthoor, Wim Dehaene
    Cross-layer power management in wireless networks and consequences on system-level architecture. [Citation Graph (0, 0)][DBLP]
    Signal Processing, 2006, v:86, n:8, pp:1792-1803 [Journal]
  230. Javier Resano, Diederik Verkest, Daniel Mozos, Serge Vernalde, Francky Catthoor
    A hybrid design-time/run-time scheduling flow to minimise the reconfiguration overhead of FPGAs. [Citation Graph (0, 0)][DBLP]
    Microprocessors and Microsystems, 2004, v:28, n:5-6, pp:291-301 [Journal]
  231. Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal
    Very wide register: an asymmetric register file organization for low power embedded processors. [Citation Graph (0, 0)][DBLP]
    DATE, 2007, pp:1066-1071 [Conf]
  232. Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor
    Middleware design optimization of wireless protocols based on the exploitation of dynamic input patterns. [Citation Graph (0, 0)][DBLP]
    DATE, 2007, pp:1036-1041 [Conf]
  233. Qubo Hu, Arnout Vandecappelle, Per Gunnar Kjeldsberg, Francky Catthoor, Martin Palkovic
    Fast memory footprint estimation based on maximal dependency vector calculation. [Citation Graph (0, 0)][DBLP]
    DATE, 2007, pp:379-384 [Conf]
  234. Antonis Papanikolaou, Hua Wang, Miguel Miranda, Francky Catthoor
    Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design. [Citation Graph (0, 0)][DBLP]
    IOLTS, 2007, pp:121- [Conf]
  235. Praveen Raghavan, José L. Ayala, David Atienza, Francky Catthoor, Giovanni De Micheli, Marisa López-Vallejo
    Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors. [Citation Graph (0, 0)][DBLP]
    ISCAS, 2007, pp:121-124 [Conf]
  236. Alexandros Bartzas, M. Peón, Stylianos Mamagkakis, David Atienza, F. Catthoort, Dimitrios Soudris, M. Mendias
    Systematic design flow for dynamic data management in visual texture decoder of MPEG-4. [Citation Graph (0, 0)][DBLP]
    ISCAS, 2006, pp:- [Conf]
  237. Miguel Peon-Quiros, Alexandros Bartzas, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris
    Direct Memory Access Optimization in Wireless Terminals for Reduced Memory Latency and Energy Consumption. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2007, pp:373-383 [Conf]
  238. Praveen Raghavan, Nandhavel Sethubalasubramanian, Satyakiran Munaga, Estela Rey Ramos, Murali Jayapala, Oliver Weiss, Francky Catthoor, Diederik Verkest
    Semi Custom Design: A Case Study on SIMD Shufflers. [Citation Graph (0, 0)][DBLP]
    PATMOS, 2007, pp:433-442 [Conf]
  239. Thomas Schuster, Bruno Bougard, Praveen Raghavan, Robert Priewasser, David Novo, Liesbet Van der Perre, Francky Catthoor
    Design of a Low Power Pre-synchronization ASIP for Multimode SDR Terminals. [Citation Graph (0, 0)][DBLP]
    SAMOS, 2007, pp:322-332 [Conf]
  240. Chantal Ykman-Couvreur, Vincent Nollet, Théodore Marescaux, Erik Brockmeyer, Francky Catthoor, Henk Corporaal
    Pareto-Based Application Specification for MP-SoC Customized Run-Time Management. [Citation Graph (0, 0)][DBLP]
    ICSAMOS, 2006, pp:78-84 [Conf]
  241. Antonis Papanikolaou, Miguel Miranda, Hua Wang, Francky Catthoor, M. Satyakiran, Pol Marchal, B. Kaczer, C. Bruynseraede, Z. Tokei
    Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design. [Citation Graph (0, 0)][DBLP]
    VLSI-SoC, 2006, pp:342-347 [Conf]
  242. Kris Heyrman, Antonis Papanikolaou, Francky Catthoor, Peter Veelaert, Koen De Bosschere, Wilfried Philips
    Energy Consumption for Transport of Control Information on a Segmented Software-Controlled Communication Architecture. [Citation Graph (0, 0)][DBLP]
    ARC, 2006, pp:52-58 [Conf]
  243. Min (Leon) Li, Bruno Bougard, François Horlin, Marc Engels, Liesbet Van der Perre, Francky Catthoor
    Quality-Energy Scalable Chip Level Equalization for HSDPA. [Citation Graph (0, 0)][DBLP]
    GLOBECOM, 2006, pp:- [Conf]
  244. Sofie Pollin, Mustafa Ergen, Sinem Coleri Ergen, Bruno Bougard, Liesbet Van der Perre, Francky Catthoor, Ingrid Moerman, Ahmad Bahai, Pravin Varaiya
    Performance Analysis of Slotted Carrier Sense IEEE 802.15.4 Medium Access Layer. [Citation Graph (0, 0)][DBLP]
    GLOBECOM, 2006, pp:- [Conf]
  245. Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck [Citation Graph (0, 0)][DBLP]
    CoRR, 2007, v:0, n:, pp:- [Journal]
  246. K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens
    Functional Equivalence Checking for Verification of Algebraic Transformations on Array-Intensive Source Code [Citation Graph (0, 0)][DBLP]
    CoRR, 2007, v:0, n:, pp:- [Journal]
  247. Bruno Bougard, Francky Catthoor, Denis C. Daly, Anantha Chandrakasan, Wim Dehaene
    Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives [Citation Graph (0, 0)][DBLP]
    CoRR, 2007, v:0, n:, pp:- [Journal]
  248. Javier Resano, Daniel Mozos, Francky Catthoor
    A Hybrid Prefetch Scheduling Heuristic to Minimize at Run-Time the Reconfiguration Overhead of Dynamically Reconfigurable Hardware [Citation Graph (0, 0)][DBLP]
    CoRR, 2007, v:0, n:, pp:- [Journal]
  249. Marijn Temmerman, Edgar G. Daylight, Francky Catthoor, Serge Demeyer, Tom Dhaene
    Optimizing data structures at the modeling level in embedded multimedia. [Citation Graph (0, 0)][DBLP]
    Journal of Systems Architecture, 2007, v:53, n:8, pp:539-549 [Journal]
  250. Stylianos Mamagkakis, Alexandros Bartzas, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis
    Systematic methodology for exploration of performance - Energy trade-offs in network applications using Dynamic Data Type refinement. [Citation Graph (0, 0)][DBLP]
    Journal of Systems Architecture, 2007, v:53, n:7, pp:417-436 [Journal]
  251. Peter Vanbroekhoven, Gerda Janssens, Maurice Bruynooghe, Francky Catthoor
    A practical dynamic single assignment transformation. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2007, v:12, n:4, pp:- [Journal]
  252. Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai
    Methodology for operation shuffling and L0 cluster generation for low energy heterogeneous VLIW processors. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2007, v:12, n:4, pp:- [Journal]
  253. Qubo Hu, Per Gunnar Kjeldsberg, Arnout Vandecappelle, Martin Palkovic, Francky Catthoor
    Incremental hierarchical memory size estimation for steering of loop transformations. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2007, v:12, n:4, pp:- [Journal]
  254. Jin Guo, Antonis Papanikolaou, H. Zhang, Francky Catthoor
    Energy/Area/Delay Tradeoffs in the Physical Design of On-Chip Segmented Bus Architecture. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2007, v:15, n:8, pp:941-944 [Journal]
  255. Frank H. M. Franssen, Florin Balasa, M. F. X. B. van Swaaij, Francky Catthoor, Hugo De Man
    Modeling multidimensional data and control flow. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 1993, v:1, n:3, pp:319-327 [Journal]
  256. Florin Balasa, Francky Catthoor, Hugo De Man
    Background memory area estimation for multidimensional signal processing systems. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 1995, v:3, n:2, pp:157-172 [Journal]
  257. Miguel Miranda, Francky Catthoor, Martin Janssen, Hugo De Man
    High-level address optimization and synthesis techniques for data-transfer-intensive applications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 1998, v:6, n:4, pp:677-686 [Journal]
  258. Gauthier Lafruit, Francky Catthoor, Jan Cornelis, Hugo De Man
    An efficient VLSI architecture for 2-D wavelet image coding with novel image scan. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 1999, v:7, n:1, pp:56-68 [Journal]
  259. Koen Danckaert, Kostas Masselos, Francky Catthoor, Hugo De Man, Constantinos E. Goutis
    Strategy for power-efficient design of parallel systems. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 1999, v:7, n:2, pp:258-265 [Journal]
  260. Sven Wuytack, Francky Catthoor, Gjalt G. de Jong, Hugo De Man
    Minimizing the required memory bandwidth in VLSI system realizations. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 1999, v:7, n:4, pp:433-441 [Journal]
  261. Frederik Vermeulen, Francky Catthoor, Diederik Verkest, Hugo De Man
    Formalized three-layer system-level model and reuse methodology for embedded data-dominated applications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2000, v:8, n:2, pp:207-216 [Journal]
  262. Curt Schurgers, Francky Catthoor, Marc Engels
    Memory optimization of MAP turbo decoder algorithms. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2001, v:9, n:2, pp:305-312 [Journal]
  263. Kostas Masselos, Francky Catthoor, Constantinos E. Goutis, Hugo De Man
    A systematic methodology for the application of data transfer and storage optimizing code transformations for power consumption and execution time reduction in realizations of multimedia algorithms on programmable processors. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2002, v:10, n:4, pp:515-518 [Journal]
  264. Chantal Ykman-Couvreur, J. Lambrecht, Diederik Verkest, Francky Catthoor, Bengt Svantesson, Ahmed Hemani, F. Wolf
    Dynamic memory management methodology applied to embedded telecom network systems. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2002, v:10, n:5, pp:650-667 [Journal]
  265. Frederik Vermeulen, Francky Catthoor, Lode Nachtergaele, Diederik Verkest, Hugo De Man
    Power-efficient flexible processor architecture for embedded applications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2003, v:11, n:3, pp:376-385 [Journal]
  266. Klaas Tack, Gauthier Lafruit, Francky Catthoor, Rudy Lauwereins
    Platform independent optimisation of multi-resolution 3D content to enable universal media access. [Citation Graph (0, 0)][DBLP]
    The Visual Computer, 2006, v:22, n:8, pp:577-590 [Journal]
  267. Chantal Ykman-Couvreur, Francky Catthoor, Johan Vounckx, Andy Folens, Filip Louagie
    Energy-aware Dynamic Task Scheduling Applied to a Real-time Multimedia Application on an Xscale Board. [Citation Graph (0, 0)][DBLP]
    J. Low Power Electronics, 2005, v:1, n:3, pp:226-237 [Journal]
  268. Martin Palkovic, Erik Brockmeyer, Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor
    Systematic Preprocessing of Data Dependent Constructs for Embedded Systems. [Citation Graph (0, 0)][DBLP]
    J. Low Power Electronics, 2006, v:2, n:1, pp:9-1 [Journal]

  269. Operation shuffling over cycle boundaries for low energy L0 clustering. [Citation Graph (, )][DBLP]


  270. System-level process variability compensation on memory organizations: on the scalability of multi-mode memories. [Citation Graph (, )][DBLP]


  271. Topology exploration for energy efficient intra-tile communication. [Citation Graph (, )][DBLP]


  272. Enabling run-time memory data transfer optimizations at the system level with automated extraction of embedded software metadata information. [Citation Graph (, )][DBLP]


  273. Systematic architecture exploration based on optimistic cycle estimation for low energy embedded processors. [Citation Graph (, )][DBLP]


  274. Locality optimization in wireless applications. [Citation Graph (, )][DBLP]


  275. SARA: StreAm register allocation. [Citation Graph (, )][DBLP]


  276. How to let instruction set processor beat ASIC for low power wireless baseband implementation: a system level approach. [Citation Graph (, )][DBLP]


  277. Exploiting finite precision information to guide data-flow mapping. [Citation Graph (, )][DBLP]


  278. A framework for automatic parallelization, static and dynamic memory optimization in MPSoC platforms. [Citation Graph (, )][DBLP]


  279. Optimizing Near-ML MIMO Detector for SDR Baseband on Parallel Programmable Architectures. [Citation Graph (, )][DBLP]


  280. Scenario-Based Fixed-point Data Format Refinement to Enable Energy-scalable Software Defined Radios. [Citation Graph (, )][DBLP]


  281. Generic Multi-Phase Software-Pipelined Partial-FFT on Instruction-Level-Parallel Architectures and SDR Baseband Applications. [Citation Graph (, )][DBLP]


  282. Architectural exploration and optimization for counter based hardware address generation. [Citation Graph (, )][DBLP]


  283. System-level power/performance evaluation of 3D stacked DRAMs for mobile applications. [Citation Graph (, )][DBLP]


  284. Finite precision processing in wireless applications. [Citation Graph (, )][DBLP]


  285. Algorithm-architecture co-design of soft-output ML MIMO detector for parallel application specific instruction set processors. [Citation Graph (, )][DBLP]


  286. Simulation framework for early phase exploration of SDR platforms: A case study of platform dimensioning. [Citation Graph (, )][DBLP]


  287. An RDL-configurable 3D memory tier to replace on-chip SRAM. [Citation Graph (, )][DBLP]


  288. How to Live with Uncertainties: Exploiting the Performance Benefits of Self-Timed Logic In Synchronous Design. [Citation Graph (, )][DBLP]


  289. Compilation Technique for Loop Overhead Minimization. [Citation Graph (, )][DBLP]


  290. Reducing the reconfiguration overhead: a survey of techniques. [Citation Graph (, )][DBLP]


  291. Run-time Task Overlapping on Multiprocessor Platforms. [Citation Graph (, )][DBLP]


  292. Adaptive mapping to resource availability for dynamic wavelet-based applications. [Citation Graph (, )][DBLP]


  293. Open-ended system for high-level synthesis of flexible signal processors. [Citation Graph (, )][DBLP]


  294. A tool flow for predicting system level timing failures due to interconnect reliability degradation. [Citation Graph (, )][DBLP]


  295. Coffee: COmpiler Framework for Energy-Aware Exploration. [Citation Graph (, )][DBLP]


  296. Selective Spanning with Fast Enumeration: A Near Maximum-Likelihood MIMO Detector Designed for Parallel Programmable Baseband Architectures. [Citation Graph (, )][DBLP]


  297. Downlink OFDM Scheduling and Resource Allocation for Delay Constraint SVC Streaming. [Citation Graph (, )][DBLP]


  298. A Spatial Learning Algorithm for IEEE 802.11 Networks. [Citation Graph (, )][DBLP]


  299. A System Level Algorithmic Approach toward Energy-Aware SDR Baseband Implementations. [Citation Graph (, )][DBLP]


  300. Fast Variable Center-Biased Windowing for High-Speed Stereo on Programmable Graphics Hardware. [Citation Graph (, )][DBLP]


  301. Template-Based Semi-Automatic Profiling of Multimedia Applications. [Citation Graph (, )][DBLP]


  302. Real-Time Stereo Correspondence using a Truncated Separable Laplacian Kernel Approximation on Graphics Hardware. [Citation Graph (, )][DBLP]


  303. Multi-granularity NoC Simulation Framework for Early Phase Exploration of SDR Hardware Platforms. [Citation Graph (, )][DBLP]


  304. Scenario Based Mapping of Dynamic Applications on MPSoC: A 3D Graphics Case Study. [Citation Graph (, )][DBLP]


  305. Novel energy-efficient scalable soft-output SSFE MIMO detector architectures. [Citation Graph (, )][DBLP]


  306. Systematic intermediate sequence removal for reduced memory accesses. [Citation Graph (, )][DBLP]


  307. Optimization of dynamic data structures in multimedia embedded systems using evolutionary computation. [Citation Graph (, )][DBLP]


  308. Energy-Aware Interconnect Optimization for a Coarse Grained Reconfigurable Processor. [Citation Graph (, )][DBLP]


  309. The Quality-Energy Scalable OFDMA Modulation for Low Power Transmitter and VLIW Processor Based Implementation. [Citation Graph (, )][DBLP]


  310. Throughput Modeling of Large-Scale 802.11 Networks. [Citation Graph (, )][DBLP]


  311. Adaptive SSFE Near-ML MIMO Detector with Dynamic Search Range and 80-103Mbps Flexible Implementation. [Citation Graph (, )][DBLP]


  312. Performance Analysis of Slotted Carrier Sense IEEE 802.15.4 Acknowledged Uplink Transmissions. [Citation Graph (, )][DBLP]


  313. A Wavelet-FFT Based Efficient Sparse OFDMA Demodulator and Its Implementation on VLIW Architecture. [Citation Graph (, )][DBLP]


  314. Systematic Optimization of Programmable QRD Implementation for Multiple Application Scenarios. [Citation Graph (, )][DBLP]


  315. Application-driven adaptive fixed-point refinement for SDRs. [Citation Graph (, )][DBLP]


  316. A unified instruction set programmable architecture for multi-standard advanced forward error correction. [Citation Graph (, )][DBLP]


  317. An implementation friendly low complexity multiplierless LLR generator for soft MIMO sphere decoders. [Citation Graph (, )][DBLP]


  318. Exploit Multiple-Domain Sparseness for HSDPA Chip Level Equalization in SDR: Algorithm and DSP Implementation. [Citation Graph (, )][DBLP]


  319. SmartMIMO: Energy-Aware Adaptive MIMO-OFDM Radio Link Control for Wireless Local Area Networks. [Citation Graph (, )][DBLP]


  320. Instruction Transfer And Storage Exploration for Low Energy VLIWs. [Citation Graph (, )][DBLP]


  321. Software-Controlled Scratchpad Mapping Strategies for Wavelet-Based Applications. [Citation Graph (, )][DBLP]


  322. Register file exploration for a multi-standard wireless forward error correction ASIP. [Citation Graph (, )][DBLP]


  323. Power-aware evaluation flowfor digital decimation filter architectures for high-speed ADCS. [Citation Graph (, )][DBLP]


  324. Spatial locality trade-offs of wavelet-based applications in dynamic execution environments. [Citation Graph (, )][DBLP]


  325. Bridging the energy gap in size, weight and power constrained software defined radio: Agile baseband processing as a key enabler. [Citation Graph (, )][DBLP]


  326. Real-time stereo matching: A cross-based local approach. [Citation Graph (, )][DBLP]


  327. Fast Reliable Multi-Scale Motion Region Detection in Video Processing. [Citation Graph (, )][DBLP]


  328. Anisotropic local high-confidence voting for accurate stereo correspondence. [Citation Graph (, )][DBLP]


Search in 0.014secs, Finished in 1.072secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002