The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Youtao Zhang: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Lan Gao, Jun Yang, Marek Chrobak, Youtao Zhang, San Nguyen, Hsien-Hsin S. Lee
    A low-cost memory remapping scheme for address bus protection. [Citation Graph (0, 0)][DBLP]
    PACT, 2006, pp:74-83 [Conf]
  2. Cathy Qun Xu, Youtao Zhang, Edwin Hsing-Mean Sha
    Application-Specific Interconnection Network Design in Clustered DSP Processors. [Citation Graph (0, 0)][DBLP]
    ISCA PDCS, 2003, pp:69-75 [Conf]
  3. Youtao Zhang, Jun Yang, Lan Gao
    Efficient Group KeyManagement with Tamper-resistant ISA Extensions. [Citation Graph (0, 0)][DBLP]
    ASAP, 2006, pp:269-274 [Conf]
  4. Youtao Zhang, Jun Yang, Rajiv Gupta
    Frequent Value Locality and Value-Centric Data Cache Design. [Citation Graph (0, 0)][DBLP]
    ASPLOS, 2000, pp:150-159 [Conf]
  5. Rajiv Gupta, Eduard Mehofer, Youtao Zhang
    A Representation for Bit Section Based Analysis and Optimization. [Citation Graph (0, 0)][DBLP]
    CC, 2002, pp:62-77 [Conf]
  6. Youtao Zhang, Rajiv Gupta
    Data Compression Transformations for Dynamically Allocated Data Structures. [Citation Graph (0, 0)][DBLP]
    CC, 2002, pp:14-28 [Conf]
  7. Yongjing Lin, Youtao Zhang
    Performance Comparison of Path Matching Algorithms over Compressed Control Flow Traces. [Citation Graph (0, 0)][DBLP]
    DCC, 2005, pp:113-122 [Conf]
  8. Youtao Zhang, Rajiv Gupta
    Path Matching in Compressed Control Flow Trace. [Citation Graph (0, 0)][DBLP]
    DCC, 2002, pp:132-141 [Conf]
  9. Youtao Zhang, Jun Yang, Lingling Jin, Weijia Li
    Locating Compromised Sensor Nodes Through Incremental Hashing Authentication. [Citation Graph (0, 0)][DBLP]
    DCOSS, 2006, pp:321-337 [Conf]
  10. Youtao Zhang, Lan Gao, Jun Yang, Xiangyu Zhang, Rajiv Gupta
    SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors. [Citation Graph (0, 0)][DBLP]
    HPCA, 2005, pp:352-362 [Conf]
  11. Lingling Jin, Wei Wu, Jun Yang, Chuanjun Zhang, Youtao Zhang
    Dynamic Co-allocation of Level One Caches. [Citation Graph (0, 0)][DBLP]
    ICESS, 2005, pp:373-385 [Conf]
  12. Guodong Li, Youtao Zhang, Yongjing Lin, Yaochun Huang
    Scalable Duplication Strategy with Bounded Availability of Processors. [Citation Graph (0, 0)][DBLP]
    ICPADS, 2004, pp:267-0 [Conf]
  13. Youtao Zhang, Rajiv Gupta
    Enabling Partial Cache Line Prefetching Through Data Compression. [Citation Graph (0, 0)][DBLP]
    ICPP, 2003, pp:277-285 [Conf]
  14. Youtao Zhang, Jun Yang
    Procedural Level Address Offset Assignment of DSP Applications with Loops. [Citation Graph (0, 0)][DBLP]
    ICPP, 2003, pp:21-28 [Conf]
  15. Xiangyu Zhang, Rajiv Gupta, Youtao Zhang
    Precise Dynamic Slicing Algorithms. [Citation Graph (0, 0)][DBLP]
    ICSE, 2003, pp:319-329 [Conf]
  16. Xiangyu Zhang, Rajiv Gupta, Youtao Zhang
    Efficient Forward Computation of Dynamic Slices Using Reduced Ordered Binary Decision Diagrams. [Citation Graph (0, 0)][DBLP]
    ICSE, 2004, pp:502-511 [Conf]
  17. Youtao Zhang, Jim Yang, H. T. Vu
    The interleaved authentication for filtering false reports in multipath routing based sensor networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2006, pp:- [Conf]
  18. Jun Yang, Youtao Zhang
    Lightweight set buffer: low power data cache for multimedia application. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2003, pp:270-273 [Conf]
  19. Youtao Zhang, Jun Yang
    Low cost instruction cache designs for tag comparison elimination. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2003, pp:266-269 [Conf]
  20. Bengu Li, Youtao Zhang, Rajiv Gupta
    Speculative Subword Register Allocation in Embedded Processors. [Citation Graph (0, 0)][DBLP]
    LCPC, 2004, pp:56-71 [Conf]
  21. Jun Yang, Youtao Zhang, Rajiv Gupta
    Frequent value compression in data caches. [Citation Graph (0, 0)][DBLP]
    MICRO, 2000, pp:258-265 [Conf]
  22. Jun Yang, Youtao Zhang, Lan Gao
    Fast Secure Processor for Inhibiting Software Piracy and Tampering. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:351-360 [Conf]
  23. Youtao Zhang, Rajiv Gupta
    Timestamped Whole Program Path Representation and its Applications. [Citation Graph (0, 0)][DBLP]
    PLDI, 2001, pp:180-190 [Conf]
  24. Yongjing Lin, Youtao Zhang, Quanzhong Li, Jun Yang
    Supporting efficient query processing on compressed XML files. [Citation Graph (0, 0)][DBLP]
    SAC, 2005, pp:660-665 [Conf]
  25. Jun Yang, Jia Yu, Youtao Zhang
    A low energy cache design for multimedia applications exploiting set access locality. [Citation Graph (0, 0)][DBLP]
    Journal of Systems Architecture, 2005, v:51, n:10-11, pp:653-664 [Journal]
  26. Yongjing Lin, Youtao Zhang, Rajiv Gupta
    The design and evaluation of path matching schemes on compressed control flow traces. [Citation Graph (0, 0)][DBLP]
    Journal of Systems and Software, 2007, v:80, n:3, pp:396-409 [Journal]
  27. Youtao Zhang, Jun Yang, Yongjing Lin, Lan Gao
    Architectural support for protecting user privacy on trusted processors. [Citation Graph (0, 0)][DBLP]
    SIGARCH Computer Architecture News, 2005, v:33, n:1, pp:118-123 [Journal]
  28. Youtao Zhang, Rajiv Gupta
    Compressing heap data for improved memory performance. [Citation Graph (0, 0)][DBLP]
    Softw., Pract. Exper., 2006, v:36, n:10, pp:1081-1111 [Journal]
  29. Jun Yang, Lan Gao, Youtao Zhang
    Improving Memory Encryption Performance in Secure Processors. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2005, v:54, n:5, pp:630-640 [Journal]
  30. Xiangyu Zhang, Rajiv Gupta, Youtao Zhang
    Cost and precision tradeoffs of dynamic data slicing algorithms. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Program. Lang. Syst., 2005, v:27, n:4, pp:631-661 [Journal]
  31. Weijia Li, Youtao Zhang, Jun Yang, Jiang Zheng
    UCC: update-conscious compilation for energy efficiency in wireless sensor networks. [Citation Graph (0, 0)][DBLP]
    PLDI, 2007, pp:383-393 [Conf]

  32. Frequent value compression in packet-based NoC architectures. [Citation Graph (, )][DBLP]


  33. Proactive NBTI mitigation for busy functional units in out-of-order microprocessors. [Citation Graph (, )][DBLP]


  34. MCP: An Energy-Efficient Code Distribution Protocol for Multi-Application WSNs. [Citation Graph (, )][DBLP]


  35. Adaptive Buffer Management for Efficient Code Dissemination in Multi-Application Wireless Sensor Networks. [Citation Graph (, )][DBLP]


  36. InfoShield: a security architecture for protecting information usage in memory. [Citation Graph (, )][DBLP]


  37. A low-radix and low-diameter 3D interconnection network design. [Citation Graph (, )][DBLP]


  38. Energy reduction for STT-RAM using early write termination. [Citation Graph (, )][DBLP]


  39. Reduce Register Files Leakage Through Discharging Cells. [Citation Graph (, )][DBLP]


  40. Thermal Management for 3D Processors via Task Scheduling. [Citation Graph (, )][DBLP]


  41. Towards energy-efficient code dissemination in wireless sensor networks. [Citation Graph (, )][DBLP]


  42. A durable and energy efficient main memory using phase change memory technology. [Citation Graph (, )][DBLP]


  43. Dynamic Thermal Management through Task Scheduling. [Citation Graph (, )][DBLP]


  44. An efficient code update scheme for DSP applications in mobile embedded systems. [Citation Graph (, )][DBLP]


  45. Variation-tolerant non-uniform 3D cache management in die stacked multicore processor. [Citation Graph (, )][DBLP]


  46. SDC: Secure Data Collection for Time Based Queries in Tiered Wireless Sensor Networks. [Citation Graph (, )][DBLP]


Search in 0.003secs, Finished in 0.303secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002