The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Babak Falsafi: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Chris Gniady, Babak Falsafi
    Speculative Sequential Consistency with Little Custom Storage. [Citation Graph (0, 0)][DBLP]
    IEEE PACT, 2002, pp:179-188 [Conf]
  2. Ilanthiraiyan Pragaspathy, Babak Falsafi
    Address Partitioning in DSM Clusters with Parallel Coherence Controllers. [Citation Graph (0, 0)][DBLP]
    IEEE PACT, 2000, pp:47-56 [Conf]
  3. Ioannis Schoinas, Babak Falsafi, Mark D. Hill, James R. Larus, David A. Wood
    Sirocco: Cost-Effective Fine-Grain Distributed Shared Memory. [Citation Graph (0, 0)][DBLP]
    IEEE PACT, 1998, pp:40-0 [Conf]
  4. Thomas F. Wenisch, Stephen Somogyi, Nikolaos Hardavellas, Jangwoo Kim, Chris Gniady, Anastassia Ailamaki, Babak Falsafi
    Store-Ordered Streaming of Shared Memory. [Citation Graph (0, 0)][DBLP]
    IEEE PACT, 2005, pp:75-86 [Conf]
  5. Ioannis Schoinas, Babak Falsafi, Alvin R. Lebeck, Steven K. Reinhardt, James R. Larus, David A. Wood
    Fine-grain Access Control for Distributed Shared Memory. [Citation Graph (0, 0)][DBLP]
    ASPLOS, 1994, pp:297-306 [Conf]
  6. Jared C. Smolens, Brian T. Gold, Jangwoo Kim, Babak Falsafi, James C. Hoe, Andreas Nowatzyk
    Fingerprinting: bounding soft-error detection latency and bandwidth. [Citation Graph (0, 0)][DBLP]
    ASPLOS, 2004, pp:224-234 [Conf]
  7. Shimin Chen, Babak Falsafi, Phillip B. Gibbons, Michael Kozuch, Todd C. Mowry, Radu Teodorescu, Anastassia Ailamaki, Limor Fix, Gregory R. Ganger, Bin Lin, Steven W. Schlosser
    Log-based architectures for general-purpose monitoring of deployed code. [Citation Graph (0, 0)][DBLP]
    ASID, 2006, pp:63-65 [Conf]
  8. Minglong Shao, Anastassia Ailamaki, Babak Falsafi
    DBmbench: fast and accurate database workload representation on modern microarchitecture. [Citation Graph (0, 0)][DBLP]
    CASCON, 2005, pp:254-267 [Conf]
  9. Nikos Hardavellas, Ippokratis Pandis, Ryan Johnson, Naju Mancheril, Anastassia Ailamaki, Babak Falsafi
    Database Servers on Chip Multiprocessors: Limitations and Opportunities. [Citation Graph (0, 0)][DBLP]
    CIDR, 2007, pp:79-87 [Conf]
  10. Brian T. Gold, Anastassia Ailamaki, Larry Huston, Babak Falsafi
    Accelerating Database Operations Using a Network Processor. [Citation Graph (0, 0)][DBLP]
    DaMoN, 2005, pp:- [Conf]
  11. Doug Carmean, Babak Falsafi, Bradley C. Kuszmaul, Jignesh M. Patel, Kenneth A. Ross
    Architecture-Conscious Databases: sub-optimization or the next big leap? [Citation Graph (0, 0)][DBLP]
    DaMoN, 2005, pp:- [Conf]
  12. Babak Falsafi, David A. Wood
    Scheduling Communication on a SMP Node Parallel Machine. [Citation Graph (0, 0)][DBLP]
    HPCA, 1997, pp:128-0 [Conf]
  13. Babak Falsafi, David A. Wood
    Parallel Dispatch Queue: A Queue-Based Programming Abstraction to Parallelize Fine-Grain Communication Protocols. [Citation Graph (0, 0)][DBLP]
    HPCA, 1999, pp:182-192 [Conf]
  14. Chi F. Chen, Se-Hyun Yang, Babak Falsafi, Andreas Moshovos
    Accurate and Complexity-Effective Spatial Pattern Prediction. [Citation Graph (0, 0)][DBLP]
    HPCA, 2004, pp:276-287 [Conf]
  15. Andreas Moshovos, Gokhan Memik, Babak Falsafi, Alok N. Choudhary
    JETTY: Filtering Snoops for Reduced Energy Consumption in SMP Servers. [Citation Graph (0, 0)][DBLP]
    HPCA, 2001, pp:85-96 [Conf]
  16. Se-Hyun Yang, Michael D. Powell, Babak Falsafi, Kaushik Roy, T. N. Vijaykumar
    An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches. [Citation Graph (0, 0)][DBLP]
    HPCA, 2001, pp:147-158 [Conf]
  17. Se-Hyun Yang, Michael D. Powell, Babak Falsafi, T. N. Vijaykumar
    Exploiting Choice in Resizable Cache Design to Optimize Deep-Submicron Processor Energy-Delay. [Citation Graph (0, 0)][DBLP]
    HPCA, 2002, pp:151-0 [Conf]
  18. Won-Ho Park, Andreas Moshovos, Babak Falsafi
    RECAST: Boosting Tag Line Buffer Coverage in Low-Power High-Level Caches "for Free". [Citation Graph (0, 0)][DBLP]
    ICCD, 2005, pp:609-616 [Conf]
  19. Chong-liang Ooi, Seon Wook Kim, Il Park, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar
    Multiplex: unifying conventional and speculative thread-level parallelism on a chip multiprocessor. [Citation Graph (0, 0)][DBLP]
    ICS, 2001, pp:368-380 [Conf]
  20. Thomas F. Wenisch, Roland E. Wunderlich, Babak Falsafi, James C. Hoe
    Statistical sampling of microarchitecture simulation. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2006, pp:- [Conf]
  21. Babak Falsafi, David A. Wood
    Reactive NUMA: A Design for Unifying S-COMA and CC-NUMA. [Citation Graph (0, 0)][DBLP]
    ISCA, 1997, pp:229-240 [Conf]
  22. Chris Gniady, Babak Falsafi, T. N. Vijaykumar
    Is SC + ILP=RC? [Citation Graph (0, 0)][DBLP]
    ISCA, 1999, pp:162-171 [Conf]
  23. An-Chow Lai, Babak Falsafi
    Selective, accurate, and timely self-invalidation using last-touch prediction. [Citation Graph (0, 0)][DBLP]
    ISCA, 2000, pp:139-148 [Conf]
  24. An-Chow Lai, Babak Falsafi
    Memory Sharing Predictor: The Key to a Speculative Coherent DSM. [Citation Graph (0, 0)][DBLP]
    ISCA, 1999, pp:172-183 [Conf]
  25. An-Chow Lai, Cem Fide, Babak Falsafi
    Dead-block prediction & dead-block correlating prefetchers. [Citation Graph (0, 0)][DBLP]
    ISCA, 2001, pp:144-154 [Conf]
  26. Il Park, Babak Falsafi, T. N. Vijaykumar
    Iimplicitly-Multithreaded Processors. [Citation Graph (0, 0)][DBLP]
    ISCA, 2003, pp:39-50 [Conf]
  27. Shubhendu S. Mukherjee, Babak Falsafi, Mark D. Hill, David A. Wood
    Coherent Network Interfaces for Fine-Grain Communication. [Citation Graph (0, 0)][DBLP]
    ISCA, 1996, pp:247-258 [Conf]
  28. Stephen Somogyi, Thomas F. Wenisch, Anastassia Ailamaki, Babak Falsafi, Andreas Moshovos
    Spatial Memory Streaming. [Citation Graph (0, 0)][DBLP]
    ISCA, 2006, pp:252-263 [Conf]
  29. Thomas F. Wenisch, Stephen Somogyi, Nikolaos Hardavellas, Jangwoo Kim, Anastassia Ailamaki, Babak Falsafi
    Temporal Streaming of Shared Memory. [Citation Graph (0, 0)][DBLP]
    ISCA, 2005, pp:222-233 [Conf]
  30. David A. Wood, Satish Chandra, Babak Falsafi, Mark D. Hill, James R. Larus, Alvin R. Lebeck, James C. Lewis, Shubhendu S. Mukherjee, Subbarao Palacharla, Steven K. Reinhardt
    Mechanisms for Cooperative Shared Memory. [Citation Graph (0, 0)][DBLP]
    ISCA, 1993, pp:156-167 [Conf]
  31. Roland E. Wunderlich, Thomas F. Wenisch, Babak Falsafi, James C. Hoe
    SMARTS: Accelerating Microarchitecture Simulation via Rigorous Statistical Sampling. [Citation Graph (0, 0)][DBLP]
    ISCA, 2003, pp:84-95 [Conf]
  32. Michael D. Powell, Amit Agarwal, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy
    Reducing set-associative cache energy via way-prediction and selective direct-mapping. [Citation Graph (0, 0)][DBLP]
    MICRO, 2001, pp:54-65 [Conf]
  33. Joydeep Ray, James C. Hoe, Babak Falsafi
    Dual use of superscalar datapath for transient-fault detection and recovery. [Citation Graph (0, 0)][DBLP]
    MICRO, 2001, pp:214-224 [Conf]
  34. Jared C. Smolens, Jangwoo Kim, James C. Hoe, Babak Falsafi
    Efficient Resource Sharing in Concurrent Error Detecting Superscalar Microarchitectures. [Citation Graph (0, 0)][DBLP]
    MICRO, 2004, pp:257-268 [Conf]
  35. Se-Hyun Yang, Babak Falsafi
    Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:67-80 [Conf]
  36. Jared C. Smolens, Brian T. Gold, Babak Falsafi, James C. Hoe
    Reunion: Complexity-Effective Multicore Redundancy. [Citation Graph (0, 0)][DBLP]
    MICRO, 2006, pp:223-234 [Conf]
  37. Steven K. Reinhardt, Babak Falsafi, David A. Wood
    Kernel Support for the Wisconsin Wind Tunnel. [Citation Graph (0, 0)][DBLP]
    USENIX Microkernels and Other Kernel Architectures Symposium, 1993, pp:73-90 [Conf]
  38. Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar
    Reference idempotency analysis: a framework for optimizing speculative execution. [Citation Graph (0, 0)][DBLP]
    PPOPP, 2001, pp:2-11 [Conf]
  39. Babak Falsafi, Alvin R. Lebeck, Steven K. Reinhardt, Ioannis Schoinas, Mark D. Hill, James R. Larus, Anne Rogers, David A. Wood
    Application-specific protocols for user-level shared memory. [Citation Graph (0, 0)][DBLP]
    SC, 1994, pp:380-389 [Conf]
  40. Thomas F. Wenisch, Roland E. Wunderlich, Babak Falsafi, James C. Hoe
    TurboSMARTS: accurate microarchitecture simulation sampling in minutes. [Citation Graph (0, 0)][DBLP]
    SIGMETRICS, 2005, pp:408-409 [Conf]
  41. An-Chow Lai, Babak Falsafi
    Comparing the effectiveness of fine-grain memory caching against page migration/replication in reducing traffic in DSM clusters. [Citation Graph (0, 0)][DBLP]
    SPAA, 2000, pp:79-88 [Conf]
  42. Vasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson
    Parallel depth first vs. work stealing schedulers on CMP architectures. [Citation Graph (0, 0)][DBLP]
    SPAA, 2006, pp:330- [Conf]
  43. Stephen Somogyi, Thomas F. Wenisch, Nikolaos Hardavellas, Jangwoo Kim, Anastassia Ailamaki, Babak Falsafi
    Memory coherence activity prediction in commercial workloads. [Citation Graph (0, 0)][DBLP]
    WMPI, 2004, pp:37-45 [Conf]
  44. Chris Gniady, Babak Falsafi
    Speculative Sequential Consistency with Little Custom Storage. [Citation Graph (0, 0)][DBLP]
    J. Instruction-Level Parallelism, 2003, v:5, n:, pp:- [Journal]
  45. Babak Falsafi, David A. Wood
    Evaluating scheduling policies for fine-grain communication protocols on a cluster of SMPs. [Citation Graph (0, 0)][DBLP]
    J. Parallel Distrib. Comput., 2005, v:65, n:4, pp:464-478 [Journal]
  46. Alan Fern, Robert Givan, Babak Falsafi, T. N. Vijaykumar
    Dynamic feature selection for hardware prediction. [Citation Graph (0, 0)][DBLP]
    Journal of Systems Architecture, 2006, v:52, n:4, pp:213-234 [Journal]
  47. Jason F. Cantin, James E. Smith, Mikko H. Lipasti, Andreas Moshovos, Babak Falsafi
    Coarse-Grain Coherence Tracking: RegionScout and Region Coherence Arrays. [Citation Graph (0, 0)][DBLP]
    IEEE Micro, 2006, v:26, n:1, pp:70-79 [Journal]
  48. Brian T. Gold, Jangwoo Kim, Jared C. Smolens, Eric S. Chung, Vasileios Liaskovitis, Eriko Nurvitadhi, Babak Falsafi, James C. Hoe, Andreas Nowatzyk
    TRUSS: A Reliable, Scalable Server Architecture. [Citation Graph (0, 0)][DBLP]
    IEEE Micro, 2005, v:25, n:6, pp:51-59 [Journal]
  49. Jared C. Smolens, Brian T. Gold, Jangwoo Kim, Babak Falsafi, James C. Hoe, Andreas Nowatzyk
    Fingerprinting: Bounding Soft-Error-Detection Latency and Bandwidth. [Citation Graph (0, 0)][DBLP]
    IEEE Micro, 2004, v:24, n:6, pp:22-29 [Journal]
  50. Thomas F. Wenisch, Roland E. Wunderlich, Michael Ferdman, Anastassia Ailamaki, Babak Falsafi, James C. Hoe
    SimFlex: Statistical Sampling of Computer System Simulation. [Citation Graph (0, 0)][DBLP]
    IEEE Micro, 2006, v:26, n:4, pp:18-31 [Journal]
  51. An-Chow Lai, Babak Falsafi
    Optimizing Traffic in DSM Clusters: Fine-Grain Memory Caching versus Page Migration/Replication. [Citation Graph (0, 0)][DBLP]
    Theory Comput. Syst., 2002, v:35, n:3, pp:269-287 [Journal]
  52. Nikolaos Hardavellas, Stephen Somogyi, Thomas F. Wenisch, Roland E. Wunderlich, Shelley Chen, Jangwoo Kim, Babak Falsafi, James C. Hoe, Andreas Nowatzyk
    SimFlex: a fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture. [Citation Graph (0, 0)][DBLP]
    SIGMETRICS Performance Evaluation Review, 2004, v:31, n:4, pp:31-34 [Journal]
  53. Babak Falsafi, David A. Wood
    Modeling Cost/Performance of a Parallel Computer Simulator. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Model. Comput. Simul., 1997, v:7, n:1, pp:104-130 [Journal]
  54. Roland E. Wunderlich, Thomas F. Wenisch, Babak Falsafi, James C. Hoe
    Statistical sampling of microarchitecture simulation. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Model. Comput. Simul., 2006, v:16, n:3, pp:197-224 [Journal]
  55. Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar
    Exploiting reference idempotency to reduce speculative storage overflow. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Program. Lang. Syst., 2006, v:28, n:5, pp:942-965 [Journal]
  56. Andreas Moshovos, Babak Falsafi, Farid N. Najm, Navid Azizi
    A Case for Asymmetric-Cell Cache Memories. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2005, v:13, n:7, pp:877-881 [Journal]
  57. Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai
    PROToFLEX: FPGA-accelerated Hybrid Functional Simulator. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2007, pp:1-6 [Conf]
  58. Thomas F. Wenisch, Anastassia Ailamaki, Babak Falsafi, Andreas Moshovos
    Mechanisms for store-wait-free multiprocessors. [Citation Graph (0, 0)][DBLP]
    ISCA, 2007, pp:266-277 [Conf]
  59. Shimin Chen, Phillip B. Gibbons, Michael Kozuch, Vasileios Liaskovitis, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Todd C. Mowry, Chris Wilkerson
    Scheduling threads for constructive cache sharing on CMPs. [Citation Graph (0, 0)][DBLP]
    SPAA, 2007, pp:105-115 [Conf]
  60. Ryan Johnson, Nikos Hardavellas, Ippokratis Pandis, Naju Mancheril, Stavros Harizopoulos, Kivanc Sabirli, Anastassia Ailamaki, Babak Falsafi
    To Share or Not To Share? [Citation Graph (0, 0)][DBLP]
    VLDB, 2007, pp:351-362 [Conf]
  61. Michael D. Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy, N. Vijaykumar
    Reducing leakage in a high-performance deep-submicron instruction cache. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2001, v:9, n:1, pp:77-89 [Journal]

  62. Predictor virtualization. [Citation Graph (, )][DBLP]


  63. ParaLog: enabling and accelerating online parallel monitoring of multithreaded applications. [Citation Graph (, )][DBLP]


  64. Shore-MT: a scalable storage manager for the multicore era. [Citation Graph (, )][DBLP]


  65. A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. [Citation Graph (, )][DBLP]


  66. Practical off-chip meta-data for temporal memory streaming. [Citation Graph (, )][DBLP]


  67. Flexible Hardware Acceleration for Instruction-Grain Program Monitoring. [Citation Graph (, )][DBLP]


  68. Spatio-temporal memory streaming. [Citation Graph (, )][DBLP]


  69. Reactive NUCA: near-optimal block placement and replication in distributed caches. [Citation Graph (, )][DBLP]


  70. TurboTag: lookup filtering to reduce coherence directory power. [Citation Graph (, )][DBLP]


  71. Simulation sampling with live-points. [Citation Graph (, )][DBLP]


  72. Last-Touch Correlated Data Streaming. [Citation Graph (, )][DBLP]


  73. Temporal instruction fetch streaming. [Citation Graph (, )][DBLP]


  74. Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding. [Citation Graph (, )][DBLP]


  75. PAI: A Lightweight Mechanism for Single-Node Memory Recovery in DSM Servers. [Citation Graph (, )][DBLP]


  76. Chip-Level Redundancy in Distributed Shared-Memory Multiprocessors. [Citation Graph (, )][DBLP]


  77. Temporal streams in commercial server applications. [Citation Graph (, )][DBLP]


Search in 0.004secs, Finished in 0.459secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002