The SCEAS System
Navigation Menu

Conferences in DBLP

Annual Symposium on VLSI (isvlsi)
2002 (conf/isvlsi/2002)

  1. Wayne Wolf, Burak Ozer, Tiehan Lv
    VLSI Systems for Embedded Video. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:3-6 [Conf]
  2. Alice Wang, Anantha Chandrakasan, Stephen V. Kosonocky
    Optimal Supply and Threshold Scaling for Subthreshold CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:7-14 [Conf]
  3. Asim Smailagic, Matthew Ettus
    System Design and Power Optimization for Mobile Computers. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:15-19 [Conf]
  4. Ismail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin
    Hardware-Software Co-Adaptation for Data-Intensive Embedded Applications. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:20-25 [Conf]
  5. Ahmed M. Shams, Wendi Pan, Archana Chidanandan, Magdy A. Bayoumi
    A Low Power High Performance Distributed DCT Architecture. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:26-34 [Conf]
  6. Vikas Chandra, Herman Schmit
    Simultaneous Optimization of Driving Buffer and Routing Switch Sizes in an FPGA using an Iso-Area Approach. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:35-40 [Conf]
  7. Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang
    Optimal Timing for Skew-Tolerant High-Speed Domino Logic. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:41-46 [Conf]
  8. Mitchell A. Thornton, Rolf Drechsler, D. Michael Miller
    Multi-Output Timed Shannon Circuits. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:47-52 [Conf]
  9. Adnan Abdul-Aziz Gutub, Alexandre F. Tenca, Çetin Kaya Koç
    Scalable VLSI Architecture for GF(p) Montgomery Modular Inverse Computation. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:53-58 [Conf]
  10. David Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin
    Impact of Technology Scaling in the Clock System Power. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:59-64 [Conf]
  11. Saraju P. Mohanty, N. Ranganathan, Vamsi Krishna
    Datapath Scheduling using Dynamic Frequency Clocking. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:65-70 [Conf]
  12. Kaveh Shakeri, James D. Meindl
    Temperature Variable Supply Voltage for Power Reduction. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:71-74 [Conf]
  13. Suvodeep Gupta, Srinivas Katkoori
    Force-Directed Scheduling for Dynamic Power Optimization. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:75-82 [Conf]
  14. J. W. Bruce, Mitchell A. Thornton, L. Shivakumaraiah, P. S. Kokate, X. Li
    Efficient Adder Circuits Based on a Conservative Reversible Logic Gate. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:83-88 [Conf]
  15. Mohamed A. Elgamel, Tarek Darwish, Magdy A. Bayoumi
    Noise Tolerant Low Power Dynamic TSPCL D Flip-Flops. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:89-94 [Conf]
  16. Naotaka Ohsawa, Masanori Hariyama, Michitaka Kameyama
    High-Performance Field Programmable VLSI Processor Based on a Direct Allocation of a Control/Data Flow Graph. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:95-100 [Conf]
  17. Shiuh-Rong Huang, Lan-Rong Dung
    VLSI Implementation for MAC-Level DWT Architecture. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:101-106 [Conf]
  18. Scott C. Smith
    Speedup of Self-Timed Digital Systems Using Early Completion. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:107-116 [Conf]
  19. Shashi Kumar, Axel Jantsch, Mikael Millberg, Johnny Öberg, Juha-Pekka Soininen, Martti Forsell, Kari Tiensyrjä, Ahmed Hemani
    A Network on Chip Architecture and Design Methodology. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:117-124 [Conf]
  20. Henry Y. H. Chuang, David P. Birch, Li-Chang Liu, Jong-Chih Chien, Steven P. Levitan, Ching-Chung Li
    A High Speed Shift-Invariant Wavelet Transform Chip for Video Compression. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:125-134 [Conf]
  21. Ingmar Neumann, Kolja Sulimma, Wolfgang Kunz
    Accelerating Retiming Under the Coupled-Edge Timing Model. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:135-140 [Conf]
  22. Anil Bahuman, Benjamin Bishop, Khaled Rasheed
    Automated Synthesis of Standard Cells using Genetic Algorithms. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:141-150 [Conf]
  23. Markus Wedler, Dominik Stoffel, Wolfgang Kunz
    Improving Structural FSM Traversal by Constraint-Satisfying Logic Simulation. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:151-158 [Conf]
  24. Bassam Shaer, Khaled Dib
    An Efficient Partitioning Algorithm of Combinational CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:159-164 [Conf]
  25. Srdjan Dragic, Martin Margala
    A 1.2V Built-In Architecture for High Frequency On-Line Iddq/delta Iddq Test. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:165-170 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002