The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Miguel Miranda: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Martin Palkovic, Miguel Miranda, Kristof Denolf, Peter Vos, Francky Catthoor
    Systematic Address and Control Code Transformations for Performance Optimisation of a MPEG-4 Video Decoder. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2002, pp:547-552 [Conf]
  2. Hua Wang, Antonis Papanikolaou, Miguel Miranda, Francky Catthoor
    A global bus power optimization methodology for physical design of memory dominated systems by coupling bus segmentation and activity driven block placement. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2004, pp:759-761 [Conf]
  3. Antonis Papanikolaou, Miguel Miranda, Francky Catthoor
    Overcoming the "Memory Wall" by improved system design exploration and a link to process technology options. [Citation Graph (0, 0)][DBLP]
    Conf. Computing Frontiers, 2004, pp:202-211 [Conf]
  4. Antonis Papanikolaou, F. Lobmaier, Hua Wang, Miguel Miranda, Francky Catthoor
    A system-level methodology for fully compensating process variability impact of memory organizations in periodic applications. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2005, pp:117-122 [Conf]
  5. Antonis Papanikolaou, T. Grabner, Miguel Miranda, P. Roussel, Francky Catthoor
    Yield prediction for architecture exploration in nanometer technology nodes: : a model and case study for memory organizations. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2006, pp:253-258 [Conf]
  6. Peeter Ellervee, Miguel Miranda, Francky Catthoor, Ahmed Hemani
    System-level data format exploration for dynamically allocated data structures. [Citation Graph (0, 0)][DBLP]
    DAC, 2000, pp:556-559 [Conf]
  7. Julio Leao da Silva Jr., Chantal Ykman-Couvreur, Miguel Miranda, Kris Croes, Sven Wuytack, Gjalt G. de Jong, Francky Catthoor, Diederik Verkest, Paul Six, Hugo De Man
    Efficient System Exploration and Synthesis of Applications with Dynamic Data Storage and Intensive Data Transfer. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:76-81 [Conf]
  8. Arnout Vandecappelle, Miguel Miranda, Erik Brockmeyer, Francky Catthoor, Diederik Verkest
    Global Multimedia System Design Exploration Using Accurate Memory Organization Feedback. [Citation Graph (0, 0)][DBLP]
    DAC, 1999, pp:327-332 [Conf]
  9. Pieter Op de Beeck, C. Ghez, Erik Brockmeyer, Miguel Miranda, Francky Catthoor, Geert Deconinck
    Background Data Organisation for the Low-Power Implementation in Real-Time of a Digital Audio Broadcast Receiver on a SIMD Processor. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:11144-11145 [Conf]
  10. Erik Brockmeyer, Miguel Miranda, Henk Corporaal, Francky Catthoor
    Layer Assignment echniques for Low Energy in Multi-Layered Memory Organisations. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:11070-11075 [Conf]
  11. Sumit Gupta, Rajesh K. Gupta, Miguel Miranda, Francky Catthoor
    Analysis of High-Level Address Code Transformations for Programmable Processors. [Citation Graph (0, 0)][DBLP]
    DATE, 2000, pp:9-13 [Conf]
  12. Ilya Issenin, Erik Brockmeyer, Miguel Miranda, Nikil Dutt
    Data Reuse Analysis Technique for Software-Controlled Memory Hierarchies. [Citation Graph (0, 0)][DBLP]
    DATE, 2004, pp:202-207 [Conf]
  13. Chidamber Kulkarni, C. Ghez, Miguel Miranda, Francky Catthoor, Hugo De Man
    Cache conscious data layout organization for embedded multimedia applications. [Citation Graph (0, 0)][DBLP]
    DATE, 2001, pp:686-693 [Conf]
  14. Martin Palkovic, Miguel Miranda, Francky Catthoor
    Systematic Power-Performance Trade-Off in MPEG-4 by Means of Selective Function Inlining Steered by Address Optimization Opportunities. [Citation Graph (0, 0)][DBLP]
    DATE, 2002, pp:1072-1079 [Conf]
  15. Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor, Karen Maex
    Systematic Analysis of Energy and Delay Impact of Very Deep Submicron Process Variability Effects in Embedded SRAM Modules. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:914-919 [Conf]
  16. Peeter Ellervee, Ahmed Hemani, Miguel Miranda, Francky Catthoor
    Exploiting Data Transfer Locality in Memory Mapping. [Citation Graph (0, 0)][DBLP]
    EUROMICRO, 1999, pp:1014-1021 [Conf]
  17. C. Sanz, Manuel Prieto, Antonis Papanikolaou, Miguel Miranda, Francky Catthoor
    System-level process variability compensation on memory organizations of dynamic applications: a case study. [Citation Graph (0, 0)][DBLP]
    ISQED, 2006, pp:376-382 [Conf]
  18. Miguel Miranda, Francky Catthoor, Martin Janssen, Hugo De Man
    ADOPT: Efficient Hardware Address Generation in Distributed Memory Architectures. [Citation Graph (0, 0)][DBLP]
    ISSS, 1996, pp:20-25 [Conf]
  19. Miguel Miranda, C. Ghez, Chidamber Kulkarni, Francky Catthoor, Diederik Verkest
    Systematic speed-power memory data-layout exploration for cache controlled embedded multimedia applications. [Citation Graph (0, 0)][DBLP]
    ISSS, 2001, pp:107-112 [Conf]
  20. M. J. Aguado, E. de la Torre, Miguel Miranda, C. López-Barrio
    Distributed Implementation of an ATPG System Using Dynamic Fault Allocation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:409-418 [Conf]
  21. Miguel Miranda, Carlos A. López-Barrio
    Generation of Optimized Single Distributions of Weights for Random Built-in Self-Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:1023-1030 [Conf]
  22. Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene
    On the Combined Impact of Soft and Medium Gate Oxide Breakdown and Process Variability on the Parametric Figures of SRAM components. [Citation Graph (0, 0)][DBLP]
    MTDT, 2006, pp:71-76 [Conf]
  23. Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex
    Global interconnect trade-off for technology over memory modules to application level: case study. [Citation Graph (0, 0)][DBLP]
    SLIP, 2003, pp:125-132 [Conf]
  24. Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex
    Interconnect exploration for future wire dominated technologies. [Citation Graph (0, 0)][DBLP]
    SLIP, 2002, pp:105-106 [Conf]
  25. Martin Palkovic, Miguel Miranda, Kristof Denolf, Peter Vos, Francky Catthoor
    Systematic Address and Control Code Transformations for Performance Optimisation of a MPEG-4 Video Decoder. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 2002, pp:547-552 [Conf]
  26. Chidamber Kulkarni, C. Ghez, Miguel Miranda, Francky Catthoor, Hugo De Man
    Cache Conscious Data Layout Organization for Conflict Miss Reduction in Embedded Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2005, v:54, n:1, pp:76-81 [Journal]
  27. Peeter Ellervee, Miguel Miranda, Francky Catthoor, Ahmed Hemani
    System-level data-format exploration for dynamically allocated datastructures. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:12, pp:1469-1472 [Journal]
  28. Hua Wang, Miguel Miranda, Antonis Papanikolaou, Francky Catthoor, Wim Dehaene
    Variable tapered pareto buffer design and implementation allowing run-time configuration for low-power embedded SRAMs. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2005, v:13, n:10, pp:1127-1135 [Journal]
  29. Antonis Papanikolaou, Hua Wang, Miguel Miranda, Francky Catthoor
    Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design. [Citation Graph (0, 0)][DBLP]
    IOLTS, 2007, pp:121- [Conf]
  30. Antonis Papanikolaou, Miguel Miranda, Hua Wang, Francky Catthoor, M. Satyakiran, Pol Marchal, B. Kaczer, C. Bruynseraede, Z. Tokei
    Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design. [Citation Graph (0, 0)][DBLP]
    VLSI-SoC, 2006, pp:342-347 [Conf]
  31. Ilya Issenin, Erik Brockmeyer, Miguel Miranda, Nikil Dutt
    DRDU: A data reuse analysis technique for efficient scratch-pad memory management. [Citation Graph (0, 0)][DBLP]
    ACM Trans. Design Autom. Electr. Syst., 2007, v:12, n:2, pp:- [Journal]
  32. Miguel Miranda, Francky Catthoor, Martin Janssen, Hugo De Man
    High-level address optimization and synthesis techniques for data-transfer-intensive applications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 1998, v:6, n:4, pp:677-686 [Journal]

  33. A holistic approach for statistical SRAM analysis. [Citation Graph (, )][DBLP]


  34. Architectural exploration and optimization for counter based hardware address generation. [Citation Graph (, )][DBLP]


  35. Statistical SRAM analysis for yield enhancement. [Citation Graph (, )][DBLP]


  36. Variability aware modeling of SoCs: From device variations to manufactured system yield. [Citation Graph (, )][DBLP]


  37. Exponent Monte Carlo for Quick Statistical Circuit Simulation. [Citation Graph (, )][DBLP]


Search in 0.003secs, Finished in 0.004secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002