The SCEAS System
Navigation Menu

Conferences in DBLP

International Test Conference (ITC) (itc)
1985 (conf/itc/1985)

  1. Edward B. Eichelberger
    Experiences and Expectations in VLSI Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:4- [Conf]
  2. Paul M. Russo
    The Growth of Application Specific Integrated Circuits: Opportunities and Challenges. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:5- [Conf]
  3. Thomas M. McWilliams
    Easing the Transition from Design to Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:6- [Conf]
  4. John Manzo
    Complexity, Test, and the Productivity Challenge of the 90s. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:7-9 [Conf]
  5. John Stone, Howard Ignatius, Randall Nuss
    Parallel Programming Significantly Improves Production NVM Wafer Sort. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:10-18 [Conf]
  6. Peter Nystrom, Steven Cosgrove
    Power Conditioning Provides Documented Productivity Gains in Semiconductor Fabrication and ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:19-22 [Conf]
  7. Judith E. Dayhoff, Robert W. Atherton
    Financial Implications of a Detailed Analysis of Test Floor Operations. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:23-32 [Conf]
  8. Ken Lindsay
    Low Cost Test System Speeds Design Verification for Custom VLSI. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:33-39 [Conf]
  9. Matthew Adiletta, Elizabeth M. Cooper, Keith Gutfreund
    Automatic Test Generation for Generic Scan Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:40-44 [Conf]
  10. Miron Abramovici, James J. Kulikowski, Premachandran R. Menon, David T. Miller
    Test Generation In Lamp2: System Overview. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:45-48 [Conf]
  11. Miron Abramovici, James J. Kulikowski, Premachandran R. Menon, David T. Miller
    Test Generation In Lamp2: Concepts and Algorithms. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:49-56 [Conf]
  12. Sivanarayana Mallela, Shianling Wu
    A Sequential Circuit Test Generation System. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:57-61 [Conf]
  13. Angelo C. Hung, Francis C. Wang
    A Method for Test Generation Directly from Testability Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:62-78 [Conf]
  14. Erwin Trischler
    Guided Inconsistent Path Sensitization: Method And Experimental Results. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:79-87 [Conf]
  15. Dilip K. Bhavsar
    "Concatenable Polydividers": Bit-Sliced LFSR Chips for Board Self-Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:88-93 [Conf]
  16. Cary K. Chin, Edward J. McCluskey
    Test Length for Pseudo Random Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:94-99 [Conf]
  17. Jacob Savir, William H. McAnney, Salvatore R. Vecchio
    Random Pattern Testing for Data-Line Faults in an Embedded Multiport Memory. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:100-105 [Conf]
  18. Jacob Savir, William H. McAnney, Salvatore R. Vecchio
    Random Pattern Testing for Address-Line Faults in an Embedded Multiport Memory. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:106-114 [Conf]
  19. John Salick, Bill Underwood, M. Ray Mercer
    Built-In Self Test Input Generator for Programmable Logic Arrays. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:115-125 [Conf]
  20. Gary L. Craig, Charles R. Kime
    Pseudo-Exhaustive Adjacency Testing: A BIST Approach for Stuck-Open Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:126-139 [Conf]
  21. Sherri Klosterman
    A Computer System Diagnostic Strategy Based on ROM-Resident Diagnostics. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:140-144 [Conf]
  22. Aamer Mahmood, Edward J. McCluskey, Aydin Ersoz
    Concurrent System-Level Error Detection Using a Watchdog Processor. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:145-152 [Conf]
  23. David S. Curry
    Semiconductor Test Equipment Viewed as an Auto-Alignment System. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:153-158 [Conf]
  24. Donald H. Lenhert
    The Uses and Costs of the Addition of Remote Operation Capability to New Products. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:159-168 [Conf]
  25. David M. Jacobson
    A Fast, Probabilistic Algorithm for Functional Testing of Random Access Memory Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:169-179 [Conf]
  26. William Corley, David S. Curry
    RF Calibration in ATE Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:180-184 [Conf]
  27. David C. Chu
    Calibration of Systematic Errors in Precision Time-Interval Counters. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:185-190 [Conf]
  28. Jim Healy, Gary Ure
    A Method of Reducing ATE System Error Components and Guaranteeing Subnanosecond Measurement Accuracies. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:191-202 [Conf]
  29. Dennis Petrich
    Achieving Accurate Timing Measurements on TTL/CMOS Devices in a Manufacturing/Incoming Inspection Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:203-219 [Conf]
  30. Garry C. Gillette
    Timing Accuracy Measurement System. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:220-223 [Conf]
  31. Robert J. Feugate Jr., Steven M. McIntyre
    Training Tomorrow's Test Engineers: Experiences in Teaching an Undergraduate Course in VLSI Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:224-229 [Conf]
  32. Albert B. Grubbs Jr., Glenn Neland
    Future Trends in Test of Electronic Circuits With Implications tor Entry Level Test Professionals. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:230-234 [Conf]
  33. Edward J. McCluskey
    Test Teaching. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:235- [Conf]
  34. Alexander Miczo
    What Do You Say When Writing a Text About Test ? [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:236-238 [Conf]
  35. Kenneth Rose
    Test Technology In a University Setting. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:239-240 [Conf]
  36. Al A. Tuszynski
    Curriculum for a Rapidly Changing Technology. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:241-243 [Conf]
  37. James G. Wilber
    Enhancing Device Test Programming Productivity: The CATalyst Automated Test Program Generator. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:252-262 [Conf]
  38. Keiji Muranaga, Kyoshiro Sakurada, Yukio Oikawa
    Language Independent Test Generation. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:263-270 [Conf]
  39. Shmuel Shalem
    DIP : A Diagnostics Processor. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:271-278 [Conf]
  40. Maurizio Contini
    The Autopal Test Process. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:279-285 [Conf]
  41. Claude J. Pany
    Simplifying Analog Device Test Program Generation. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:286-290 [Conf]
  42. Tom Middleton
    Recycling Functional Test Vectors: Techniques and Tools for Pattern Conversion. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:291-303 [Conf]
  43. Harry H. Chen, Robert G. Mathews, John A. Newkirk
    An Algorithm to Generate Tests for MOS Circuits at the Switch Level. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:304-312 [Conf]
  44. R. Chandramouli, Hector R. Sucar
    Defect Analysis and Fault Modeling in MOS Technology. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:313-321 [Conf]
  45. Mark E. Turner, Duane G. Leet, Ronald J. Prilik, David J. McLean
    Testing CMOS VLSI: Tools, Concepts, and Experimental Results. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:322-328 [Conf]
  46. T. Shimono, K. Oozeki, M. Takahashi, Masato Kawai, S. Funatsu
    An AC/DC Test Generation System for Gate Array LSIs. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:329-333 [Conf]
  47. Kenneth D. Wagner
    The Error Latency of Delay Faults in Combinational and Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:334-341 [Conf]
  48. Gordon L. Smith
    Model for Delay Faults Based upon Paths. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:342-351 [Conf]
  49. Paul H. Bardell, William H. McAnney
    Self-Test of Random Access Memories. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:352-355 [Conf]
  50. Robert H. Fujii, Jacob A. Abraham
    Self-Test for Microprocessors. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:356-361 [Conf]
  51. Andrzej Krasniewski, Alexander Albicki
    Automatic Design of Exhaustively Self-Testing Chips with Bilbo Modules. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:362-371 [Conf]
  52. Frances D. Koo, Gene W. Lee
    Isolating Failures within VLSI Chips That Incorporate Signature Analysis and Set/Scan Techniques. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:372-379 [Conf]
  53. Frans P. M. Beenker
    Systematic and Structured Methods for Digital Board Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:380-385 [Conf]
  54. Stephen F. Filippone
    Automating Test-Bed Fault Detection and Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:386-392 [Conf]
  55. Jaffery C. Phillips
    A Programmable Bus Emulation Technique for Processor Based and Peripheral Printed Circuit Boards. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:393-398 [Conf]
  56. Dom Marro
    Automatic Visual Test of Surface Mount Assemblies. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:399-402 [Conf]
  57. Scott T. Jones
    Flexible Inspection Systems (FIS) for Printed Circuit Board Production: ATE Finds a Quality Partner. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:403-412 [Conf]
  58. Herb Boulton
    New Concepts of Applying Thermographic Testing to Printed Circuit Boards and Finished Products. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:413-419 [Conf]
  59. Antony K. Stevens
    MHz Frequency Counting with VLSI Testers 420. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:420-427 [Conf]
  60. D. R. Morris
    Universal Signal Routing Card. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:428-430 [Conf]
  61. Ryozou Yoshino, Ryuichi Takagi
    Custom VLSI Test System. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:431-437 [Conf]
  62. M. Shimizu, N. Okino, J. Nishiura, H. Maruyama
    Memory Embedded VLSI Gate Array Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:438-444 [Conf]
  63. D. Rodgers, M. Shepherd
    Asynchronous FIFO's Require Special Attention. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:445-450 [Conf]
  64. Hiroshi Miyamoto, Koichiro Mashiko, Yoshikazu Morooka, Kazutami Arimoto, Michihiro Yamada, T. Nakano
    Test Pattern Considerations for Fault Tolerant High Density DRAM. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:451-455 [Conf]
  65. T. Fujieda, N. Arai
    Considerations of the Testing of RAMs with Dual Ports. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:456-461 [Conf]
  66. T. Sridhar
    A New Parallel Test Approach for Large Memories. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:462-470 [Conf]
  67. Grady Giles, Craig Hunter
    A Methodology for Testing Content Addressable Memories. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:471-475 [Conf]
  68. Nagesh Vasanthavada, Peter N. Marinos
    An Operationally Efficient Scheme for Exhaustive Test-Pattern Generation Using Linear Codes. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:476-482 [Conf]
  69. Magdy S. Abadir, Hassan K. Reghbati
    Functional Test Generation for LSI Circuits Described by Binary Decision Diagrams. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:483-492 [Conf]
  70. Wu-Tung Cheng, Janak H. Patel
    Multiple-Fault Detection in Iterative Logic Arrays. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:493-499 [Conf]
  71. Vinod K. Agarwal, Janusz Rajski
    Testing Properties and Applications of Inverter-Free PLA's. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:500-507 [Conf]
  72. Andrew V. Goldberg, Karl J. Lieberherr
    Efficient Test Generation Algorithms. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:508-517 [Conf]
  73. Jill M. McPhee
    The Effects of Backdriving Integrated Circuits : An Accurate Electro-Thermal Model. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:518-522 [Conf]
  74. Frank H. Hielscher, John C. Pagano
    Backdrive Stress-Testing of CMOS Gate Array Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:523-533 [Conf]
  75. Josef H. Hendriks
    Overdriving NMOS and CMOS VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:534-539 [Conf]
  76. Howard D. Helms
    Various Architectures of Systems for Measuring Early-Life Failure Rates of Semiconductor Components. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:540-543 [Conf]
  77. Jerry M. Soden, Charles F. Hawkins
    Electrical Characteristics and Testing Considerations for Gate Oxide Shorts in CMOS ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:544-557 [Conf]
  78. Patrick P. Fasang, Michael A. Schuette, John Paul Shen, William A. Gwaltney
    Automated Design for Testability of Semicustom Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:558-564 [Conf]
  79. Robert J. Orsello
    Programmable Logic: Testability by Design. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:565-566 [Conf]
  80. Dong Sam Ha, Sudhakar M. Reddy
    On the Design of Testable Domino PLAs. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:567-573 [Conf]
  81. Hideo Fujiwara, Kewal K. Saluja, Kozo Kinoshita
    A Testable Design of Programmable Logic Arrays with Universal Control and Minimal Overhead. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:574-582 [Conf]
  82. James Jacob, Nripendra N. Biswas
    : A Testable PLA Design with Minimal Hardware and Test Set. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:583-588 [Conf]
  83. Albert Lam, Savio N. Chau, Huy Luong
    Design of a Class of Self-Exercising Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:589-601 [Conf]
  84. Martin I. Eiger, Michele J. Chabot
    Algorithms for High-Performance Fixture Wiring. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:602-609 [Conf]
  85. H. S. Lahman, C. L. Johnson
    A Computerized Solution to the Fixture-Wiring Problem. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:610-617 [Conf]
  86. Tim Moore, Stephen Garner
    Auto-Probing on the L200 Functional Tester. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:618-628 [Conf]
  87. James Congdon
    Driver/Sensor Design for High-Performance ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:629-633 [Conf]
  88. T. Shiragasawa, M. Sugano, Yoshihisa Mano, M. Noyori
    An On-Lined Laser Probing System for Diagnosing Scaled VLSI. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:634-642 [Conf]
  89. Norio Kuji, Teruo Tamama
    Automated Fault Diagnostic EB Tester and Its Application to a 40K-Gate VLSI Circuit. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:643-651 [Conf]
  90. S. Daniel Lee, Lisa Deerr Li
    A Comprehensive Approach to Test Program Debugging for High Performance VLSI Test Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:652-665 [Conf]
  91. Brijendra Sharma, Colin McIntyre, Gerard Labonville, Jose Avila
    Integrated Test Program Development Package. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:666-671 [Conf]
  92. Arthur E. Downey
    Waveform: A Software Tool for Efficient Test Program Development. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:672-677 [Conf]
  93. Reed I. White
    TRS and DTS : IC Test Result Standards. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:678-684 [Conf]
  94. L. J. Falkenstrom, David C. Keezer, A. Patterson, Robert M. Rolfe, J. Wolcott
    Tester Independent Support Software System (TISSS). [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:685-691 [Conf]
  95. David Giles, Kenneth R. Bowden, Mike Haney, Gregory A. Maston
    Maintaining Simulation Accuracy through Physical Device Models. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:692-695 [Conf]
  96. Jeremy Richman, Kenneth R. Bowden
    The Modern Fault Dictionary. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:696-702 [Conf]
  97. Ernst Ulrich
    Concurrent Simulation at the Switch, Gate, and Register Levels. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:703-709 [Conf]
  98. William A. Rogers, Jacob A. Abraham
    CHIEFS : A Concurrent, Hierarchical and Extensible Fault Simulator. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:710-716 [Conf]
  99. Masahiko Kawamura, Kanji Hirabayashi
    AFS : An Approximate Fault Simulator. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:717-721 [Conf]
  100. Zeev Barzilai, Vijay S. Iyengar, Barry K. Rosen, Gabriel M. Silberman
    Accurate Fault Modeling and Efficient Simulation of Differential CVS Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:722-731 [Conf]
  101. Yashwant K. Malaiya
    Faults in Microprogrammed and Hardwired Control. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:732- [Conf]
  102. Carl Staelin, Alexander Albicki
    Evaluation ot Monitor Complexity for Concurrently Testing Microprogrammed Control Units. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:733-736 [Conf]
  103. Raoul Velazco, Haissam Ziade, E. Kolokithas
    A Microprocessor Test Approach Allowing Fault Localization. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:737-743 [Conf]
  104. D. K. Verbeek, W. C. Bruce
    Testability Features of the MC68HC11. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:744-751 [Conf]
  105. Luis A. Basto, John R. Kuban
    Test Features ot the MC68881 Floating-Point Coprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:752-759 [Conf]
  106. Predrag G. Kovijanic, Ramesh G. Kulkarni
    Testability Analysis of Programmable Array Logic. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:760-768 [Conf]
  107. Balakrishnan Krishnamurthy, Richard Li-Cheng Sheng
    A New Approach to the Use of Testability Analysis in Test Generation. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:769-778 [Conf]
  108. John A. Waicukauski, Eric Lindbloom, Edward B. Eichelberger, Donato O. Forlenza, Tim McCarthy
    A Statistical Calculation of Fault Detection Probabilities By Fast Fault Simulation. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:779-784 [Conf]
  109. Franc Brglez
    A Fast Fault Grader: Analysis and Applications. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:785-794 [Conf]
  110. Miron Abramovici
    Low-Cost Fault Simulation: Why, When and How. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:795- [Conf]
  111. Vishwani D. Agrawal
    STAFAN Takes a Middle Course. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:796- [Conf]
  112. Franc Brglez
    Fault Coverage Tools: Case Studies. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:797-800 [Conf]
  113. Prabhakar Goel, Chi-Lai Huang
    Statistical Fault Sampling and Full Fault Simulation. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:801-802 [Conf]
  114. Sharad C. Seth
    Predicting Fault Coverage from Probabilistic Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:803-807 [Conf]
  115. D. Kazakos
    Statistical Failure Detection Methods for Linear Analog Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:808-812 [Conf]
  116. Gerard N. Stenbakken, T. Michael Souders
    : Modeling and Test Point Selection for Data Converter Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:813-817 [Conf]
  117. Clyde Browning
    Testing A/D Converters on Microcomputers. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:818-824 [Conf]
  118. H. Kitayoshi, S. Sumida, K. Shirakawa, S. Takeshita
    DSP Synthesized Signal Source for Analog Testing Stimulus and New Test Method. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:825-834 [Conf]
  119. Brent Schusheim
    Employing Multiple Test Techniques for Complex Telecommunications Devices. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:835-841 [Conf]
  120. F. Matthiesen, Michael J. Ohletz
    Test of Digital Transversal Filters. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:842-847 [Conf]
  121. Patricia M. Ryan, A. Jesse Wilkinson
    Knowledge Acquisition for ATE Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:848-856 [Conf]
  122. Oliver Grillmeyer, A. Jesse Wilkinson
    The Design and Construction of a Rule Base and an Inference Engine for Test System Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:857-867 [Conf]
  123. Larry Apfelbaum
    An Expert System for In-Circuit Fault Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:868-874 [Conf]
  124. Stephen L. Lusky, T. Sridhar
    Detectable CMOS Faults in Switch-Level Simulation. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:875-883 [Conf]
  125. Kyushik Son
    Rule Based Testability Checker and Test Generator. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:884-891 [Conf]
  126. Frank F. Tsui
    The Cost and Speed Barriers in LSI/VLSI Testing : Can They Be Overcome By Testability Design ? [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:892-906 [Conf]
  127. Ali Feizi, Damu Radhakrishnan
    Multiple Output Pass Networks: Design and Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:907-911 [Conf]
  128. Bell Liu
    The Challenge of Configurable Logic Array Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:912-921 [Conf]
  129. Tonysheng Lin, Stephen Y. H. Su
    VLSI Functional Test Pattern Generation: A Design and Implementation. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:922-929 [Conf]
  130. Uming Ko, Dinesh G. Patel, Francois J. Henley
    Contactless VLSI Laser Probing. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:930-937 [Conf]
  131. Robert W. Atherton, John L. Mudge
    Microprocessor Speed Optimization Using Pattern-Recognition Analysis of Parametric Test Data. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:938-948 [Conf]
  132. John D. Tobey
    Reducing Test Program Development Time for Memory Devices. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:949-953 [Conf]
  133. William P. Allaire
    Case Study: ATE Networking Using Peripheral Emulation. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:954-961 [Conf]
  134. Michael Dapron
    Linking Design Tools to In-Circuit Test Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:962-971 [Conf]
  135. Peter Hansen
    Converting Device Test Vectors to an In-Circuit Board Test Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:972-979 [Conf]
  136. Steven R. Nelson
    Distributed Factory Data Management-Breaking the Network Bottleneck. [Citation Graph (0, 0)][DBLP]
    ITC, 1985, pp:980-986 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002