The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Low Power Electronics and Design (islped)
1995 (conf/islped/1995)

  1. Walter Davis
    The CAD challenges of designing low power, high performance VLSI system. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:1- [Conf]
  2. Kimiyoshi Usami, Mark Horowitz
    Clustered voltage scaling technique for low-power design. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:3-8 [Conf]
  3. Salil Raje, Majid Sarrafzadeh
    Variable voltage scheduling. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:9-14 [Conf]
  4. Chetana Nagendra, Robert Michael Owens, Mary Jane Irwin
    Unifying carry-sum and signed-digital number representations for low power. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:15-20 [Conf]
  5. Luca Benini, Giovanni De Micheli
    Transformation and synthesis of FSMs for low-power gated-clock implementation. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:21-26 [Conf]
  6. Christos A. Papachristou, Mark Spining, Mehrdad Nourani
    A multiple clocking scheme for low power RTL design. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:27-32 [Conf]
  7. José Monteiro, Srinivas Devadas
    Techniques for the power estimation of sequential logic circuits under user-specified input sequences and programs. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:33-38 [Conf]
  8. Peter A. Beerel, Cheng-Ta Hsieh, Suhrid A. Wadekar
    Estimation of energy consumption in speed-independent control circuits. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:39-44 [Conf]
  9. Uming Ko, Poras T. Balsara, Ashwini K. Nanda
    Energy optimization of multi-level processor cache architectures. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:45-49 [Conf]
  10. Sven Wuytack, Francky Catthoor, Hugo De Man
    Transforming set data types to power optimal data structures. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:51-56 [Conf]
  11. Ramesh Panwar, David A. Rennels
    Reducing the frequency of tag compares for low power I-cache design. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:57-62 [Conf]
  12. Ching-Long Su, Alvin M. Despain
    Cache design trade-offs for power and performance optimization: a case study. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:63-68 [Conf]
  13. Aurobindo Dasgupta, Ramesh Karri
    Simultaneous scheduling and binding for power minimization during microarchitecture synthesis. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:69-74 [Conf]
  14. Anthony Correale Jr.
    Overview of the power minimization techniques employed in the IBM PowerPC 4xx embedded controllers. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:75-80 [Conf]
  15. Diana Marculescu, Radu Marculescu, Massoud Pedram
    Information theoretic measures of energy consumption at register transfer level. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:81-86 [Conf]
  16. Farid N. Najm
    Towards a high-level power estimation capability. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:87-92 [Conf]
  17. Paul E. Landman, Jan M. Rabaey
    Activity-sensitive architectural power analysis for the control path. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:93-98 [Conf]
  18. Enric Musoll, Jordi Cortadella
    High-level synthesis techniques for reducing the activity of functional units. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:99-104 [Conf]
  19. Charlie X. Huang, Bill Zhang, An-Chang Deng, Burkhard Swirski
    The design and implementation of PowerMill. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:105-110 [Conf]
  20. Abelardo Pardo, R. Iris Bahar, Srilatha Manne, Peter Feldmann, Gary D. Hachtel, Fabio Somenzi
    CMOS dynamic power estimation based on collapsible current source transistor modeling. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:111-116 [Conf]
  21. Christian Piguet, Jean-Marc Masgonty, V. von Kaenel, T. Schneider
    Logic design for low-voltage/low-power CMOS circuits. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:117-122 [Conf]
  22. Michele Favalli, Luca Benini
    Analysis of glitch power dissipation in CMOS ICs. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:123-128 [Conf]
  23. S. Turgis, Nadine Azémard, Daniel Auvergne
    Explicit evaluation of short circuit power dissipation for CMOS logic structures. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:129-134 [Conf]
  24. Premal Buch, Shen Lin, Vijay Nagasamy, Ernest S. Kuh
    Techniques for fast circuit simulation applied to power estimation of CMOS circuits. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:135-138 [Conf]
  25. Manjit Borah, Robert Michael Owens, Mary Jane Irwin
    High-throughput and low-power DSP using clocked-CMOS circuitry. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:139-144 [Conf]
  26. William A. Chren Jr.
    Low delay-power product CMOS design using one-hot residue coding. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:145-150 [Conf]
  27. Rafael Fried, Reuven Holzer
    Low power and EMI, high frequency, crystal oscillator. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:151-154 [Conf]
  28. M. Tachibana, S. Kurosawa, R. Nojima, Norman Kojima, Masaaki Yamada, Takashi Mitsuhashi, Nobuyuki Goto
    Power and area optimization by reorganizing CMOS complex gate circuits. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:155-160 [Conf]
  29. Alexey Glebov, David Blaauw, Larry G. Jones
    Transistor reordering for low power CMOS gates using an SP-BDD representation. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:161-166 [Conf]
  30. Manjit Borah, Robert Michael Owens, Mary Jane Irwin
    Transistor sizing for minimizing power consumption of CMOS circuits under delay constraint. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:167-172 [Conf]
  31. Vamshi Veeramachaneni, Akhilesh Tyagi, Suresh Rajgopal
    Re-encoding for low power state assignment of FSMs. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:173-178 [Conf]
  32. Jae W. Chung, De-Yu Kao, Chung-Kuan Cheng, Ting-Ting Y. Lin
    Optimization of power dissipation and skew sensitivity in clock buffer synthesis. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:179-184 [Conf]
  33. Kei-Yong Khoo, Alan N. Willson Jr.
    Charge recovery on a databus. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:185-189 [Conf]
  34. Alan Kramer, John S. Denker, B. Flower, J. Moroney
    2nd order adiabatic computation with 2N-2P and 2N-2N2P logic circuits. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:191-196 [Conf]
  35. David J. Frank, Paul M. Solomon
    Electroid-oriented adiabatic switching circuits. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:197-202 [Conf]
  36. Alan Kramer, Roberto Canegallo, Mauro Chinosi, D. Doise, Giovanni Gozzini, Pier Luigi Rolandi, M. Sabatini, P. Zabberoni
    Ultra-low-power analog associative memory core using flash-EEPROM-based programmable capacitors. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:203-208 [Conf]
  37. Phillip E. Allen, Benjamin J. Blalock, Gabriel A. Rincon
    Low voltage analog circuits using standard CMOS technology. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:209-214 [Conf]
  38. Anthony M. Hill, Sung-Mo Kang
    Determining accuracy bounds for simulation-based switching activity estimation. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:215-220 [Conf]
  39. Vivek Tiwari, Sharad Malik, Pranav Ashar
    Guarded evaluation: pushing power management to logic synthesis/design. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:221-226 [Conf]
  40. Christopher K. Lennard, A. Richard Newton
    An estimation technique to guide low power resynthesis algorithms. [Citation Graph (0, 0)][DBLP]
    ISLPD, 1995, pp:227-232 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002