The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Lizy Kurian John: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Kenneth Hoste, Aashish Phansalkar, Lieven Eeckhout, Andy Georges, Lizy Kurian John, Koen De Bosschere
    Performance prediction based on inherent program similarity. [Citation Graph (0, 0)][DBLP]
    PACT, 2006, pp:114-122 [Conf]
  2. Byeong Kil Lee, Lizy Kurian John
    Implications of Programmable General Purpose Processors for Compression/Encryption Applications. [Citation Graph (0, 0)][DBLP]
    ASAP, 2002, pp:233-242 [Conf]
  3. Byeong Kil Lee, Lizy Kurian John, Eugene John
    Architectural Support for Accelerating Congestion Control Applications in Network Processors. [Citation Graph (0, 0)][DBLP]
    ASAP, 2005, pp:169-178 [Conf]
  4. Tao Li, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio
    Understanding and improving operating system effects in control flow prediction. [Citation Graph (0, 0)][DBLP]
    ASPLOS, 2002, pp:68-80 [Conf]
  5. Shiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John
    Effective Adaptive Computing Environment Management via Dynamic Optimization. [Citation Graph (0, 0)][DBLP]
    CGO, 2005, pp:63-73 [Conf]
  6. Ramesh Radhakrishnan, Lizy Kurian John
    A Performance Study of Modern Web Server Applications. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 1999, pp:239-247 [Conf]
  7. Deependra Talla, Lizy Kurian John
    Performance Evaluation and Benchmarking of Native Signal Processing. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 1999, pp:266-270 [Conf]
  8. Ashutosh Kulkarni, Navin Chander, Soumya Pillai, Lizy Kurian John
    Modeling and Analysis of The Difference-Bit Cache. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:140-145 [Conf]
  9. R. Shalem, Lizy Kurian John, Eugene John
    A Novel Low Power Energy Recovery Full Adder Cell. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:380-0 [Conf]
  10. Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor
    A comparative evaluation of software techniques to hide memory latency. [Citation Graph (0, 0)][DBLP]
    HICSS (1), 1995, pp:229- [Conf]
  11. Tao Li, Ravi Bhargava, Lizy Kurian John
    Rehashable BTB: An Adaptive Branch Target Buffer to Improve the Target Predictability of Java Code. [Citation Graph (0, 0)][DBLP]
    HiPC, 2002, pp:597-608 [Conf]
  12. Sudhanva Gurumurthi, Anand Sivasubramaniam, Mary Jane Irwin, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Tao Li, Lizy Kurian John
    Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach. [Citation Graph (0, 0)][DBLP]
    HPCA, 2002, pp:141-150 [Conf]
  13. Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor
    Program Balance and Its Impact on High Performance RISC Architectures. [Citation Graph (0, 0)][DBLP]
    HPCA, 1995, pp:370-379 [Conf]
  14. Ramesh Radhakrishnan, Narayanan Vijaykrishnan, Lizy Kurian John, Anand Sivasubramaniam
    Architectural Issues in Java Runtime Systems. [Citation Graph (0, 0)][DBLP]
    HPCA, 2000, pp:387-398 [Conf]
  15. Mike Clark, Lizy Kurian John
    Performance Evaluation of Configurable Hardware Features on the AMD-K5. [Citation Graph (0, 0)][DBLP]
    ICCD, 1999, pp:102-107 [Conf]
  16. Lizy Kurian John, Akila Subramanian
    Design and Performance Evaluation of a Cache Assist to implement Selective Caching. [Citation Graph (0, 0)][DBLP]
    ICCD, 1997, pp:510-518 [Conf]
  17. Byeong Kil Lee, Lizy Kurian John
    NpBench: A Benchmark Suite for Control plane and Data plane Applications for Network Processors. [Citation Graph (0, 0)][DBLP]
    ICCD, 2003, pp:226-233 [Conf]
  18. Yue Luo, Lizy Kurian John
    Simulating Commercial Java Throughput Workloads: A Case Study. [Citation Graph (0, 0)][DBLP]
    ICCD, 2005, pp:393-398 [Conf]
  19. Ramesh Radhakrishnan, Juan Rubio, Lizy Kurian John
    Characterization of Java Applications at Bytecode and Ultra-SPARC Machine Code Levels. [Citation Graph (0, 0)][DBLP]
    ICCD, 1999, pp:281-284 [Conf]
  20. Srivatsan Srinivasan, Lizy Kurian John
    On the Use of Pseudorandom Sequences for High Speed Resource Allocators in Superscalar Processors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1999, pp:124-130 [Conf]
  21. Deependra Talla, Lizy Kurian John
    Cost-effective Hardware Acceleration of Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    ICCD, 2001, pp:415-424 [Conf]
  22. Deependra Talla, Lizy Kurian John, Viktor S. Lapinskii, Brian L. Evans
    Evaluating Signal Processing and Multimedia Applications on SIMD, VLIW and Superscalar Architectures. [Citation Graph (0, 0)][DBLP]
    ICCD, 2000, pp:163-0 [Conf]
  23. Juan Rubio, Lizy Kurian John
    Analysis of the Execution of a Next Generation Application on Superscalar and Grid Processors. [Citation Graph (0, 0)][DBLP]
    ICPADS, 2004, pp:307-314 [Conf]
  24. Lizy Kurian John, Bermjae Choi, Paul T. Hulina, Lee D. Coraor
    Module Partitioning and Interlaced Data Placement Schemes to Reduce Conflicts in Interleaved Memories. [Citation Graph (0, 0)][DBLP]
    ICPP (1), 1994, pp:212-219 [Conf]
  25. Lizy Kurian John, Matthew Thazhuthaveetil
    Effect of Hot Spots on Multiprocessor Systems Using Circuit Switched Interconnection Networks. [Citation Graph (0, 0)][DBLP]
    ICPP (1), 1991, pp:554-557 [Conf]
  26. Robert H. Bell Jr., Lizy Kurian John
    Improved automatic testcase synthesis for performance model validation. [Citation Graph (0, 0)][DBLP]
    ICS, 2005, pp:111-120 [Conf]
  27. Ravi Bhargava, Lizy Kurian John
    Latency and energy aware value prediction for high-frequency processors. [Citation Graph (0, 0)][DBLP]
    ICS, 2002, pp:45-56 [Conf]
  28. Tao Li, Lizy Kurian John, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Jyotsna Sabarinathan, Anupama Murthy
    Using complete system simulation to characterize SPECjvm98 benchmarks. [Citation Graph (0, 0)][DBLP]
    ICS, 2000, pp:22-33 [Conf]
  29. Huy Nguyen, Lizy Kurian John
    Exploiting SIMD parallelism in DSP and multimedia algorithms using the AltiVec technology. [Citation Graph (0, 0)][DBLP]
    International Conference on Supercomputing, 1999, pp:11-20 [Conf]
  30. Ramesh Radhakrishnan, Ravi Bhargava, Lizy Kurian John
    Improving Java performance using hardware translation. [Citation Graph (0, 0)][DBLP]
    ICS, 2001, pp:427-439 [Conf]
  31. Madhavi Gopal Valluri, Lizy Kurian John, Kathryn S. McKinley
    Low-power, low-complexity instruction issue using compiler assistance. [Citation Graph (0, 0)][DBLP]
    ICS, 2005, pp:209-218 [Conf]
  32. Ravi Bhargava, Lizy Kurian John
    Improving Dynamic Cluster Assignment for Clustered Trace Cache Processors. [Citation Graph (0, 0)][DBLP]
    ISCA, 2003, pp:264-274 [Conf]
  33. Lieven Eeckhout, Robert H. Bell Jr., Bastiaan Stougie, Koen De Bosschere, Lizy Kurian John
    Control Flow Modeling in Statistical Simulation for Accurate and Efficient Processor Design Studies. [Citation Graph (0, 0)][DBLP]
    ISCA, 2004, pp:350-363 [Conf]
  34. Lizy Kurian John, Paul T. Hulina, Lee D. Coraor
    Memory Latency Effects in Decoupled Architectures With a Single Data Memory Module. [Citation Graph (0, 0)][DBLP]
    ISCA, 1992, pp:236-245 [Conf]
  35. Lizy Kurian John, Paul T. Hulina, Lee D. Coraor, Dhamir N. Mannai
    Classification and Performance Evaluation of Instruction Buffering Techniques. [Citation Graph (0, 0)][DBLP]
    ISCA, 1991, pp:150-159 [Conf]
  36. Ramesh Radhakrishnan, Deependra Talla, Lizy Kurian John
    Allowing for ILP in an embedded Java processor. [Citation Graph (0, 0)][DBLP]
    ISCA, 2000, pp:294-305 [Conf]
  37. Tao Li, Lizy Kurian John
    Routine based OS-aware microprocessor resource adaptation for run-time operating system power saving. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2003, pp:241-246 [Conf]
  38. Soontae Kim, Narayanan Vijaykrishnan, Mary Jane Irwin, Lizy Kurian John
    On load latency in low-power caches. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2003, pp:258-261 [Conf]
  39. Madhavi Gopal Valluri, Lizy Kurian John, Heather Hanson
    Exploiting compiler-generated schedules for energy savings in high-performance processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2003, pp:414-419 [Conf]
  40. Tao Li, Lizy Kurian John, Robert H. Bell Jr.
    Modeling and Evaluation of Control Flow Prediction Schemes Using Complete System Simulation and Java Workloads. [Citation Graph (0, 0)][DBLP]
    MASCOTS, 2002, pp:391-400 [Conf]
  41. Ravi Bhargava, Lizy Kurian John, Brian L. Evans, Ramesh Radhakrishnan
    Evaluating MMX Technology Using DSP and Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:37-46 [Conf]
  42. Yue Luo, Lizy Kurian John, Lieven Eeckhout
    Self-Monitored Adaptive Cache Warm-Up for Microprocessor Simulation. [Citation Graph (0, 0)][DBLP]
    SBAC-PAD, 2004, pp:10-17 [Conf]
  43. Juan Rubio, Charles Lefurgy, Lizy Kurian John
    Improving Server Performance on Transaction Processing Workloads by Enhanced Data Placement. [Citation Graph (0, 0)][DBLP]
    SBAC-PAD, 2004, pp:84-91 [Conf]
  44. Tao Li, Lizy Kurian John
    Run-time modeling and estimation of operating system power consumption. [Citation Graph (0, 0)][DBLP]
    SIGMETRICS, 2003, pp:160-171 [Conf]
  45. Gregory E. Beers, Lizy Kurian John
    Novel Memory Bus Driver/Receiver Architecture for Higher Throughput. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 1998, pp:259-264 [Conf]
  46. Robert H. Bell Jr., Lizy Kurian John
    Interface Design Techniques for Single-Chip Systems. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 2003, pp:388-394 [Conf]
  47. Lizy Kurian John
    VaWiRAM: a variable width random access memory module. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 1996, pp:219-224 [Conf]
  48. Lizy Kurian John, Daniel Brewer, Eugene John
    Design of a highly reconfigurable interconnect for array processors. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 1995, pp:321-325 [Conf]
  49. Srivatsan Srinivasan, Parminder Singh Chhabra, Praveen Kumar Jaini, Adnan Aziz, Lizy Kurian John
    Formal Verification of a Snoop-Based Cache Coherence Protocol Using Symbolic Model Checking. [Citation Graph (0, 0)][DBLP]
    VLSI Design, 1999, pp:288-293 [Conf]
  50. Shiwen Hu, Lizy Kurian John
    Impact of virtual execution environments on processor energy consumption and hardware adaptation. [Citation Graph (0, 0)][DBLP]
    VEE, 2006, pp:100-110 [Conf]
  51. Lieven Eeckhout, Yue Luo, Koen De Bosschere, Lizy Kurian John
    BLRL: Accurate and Efficient Warmup for Sampled Processor Simulation. [Citation Graph (0, 0)][DBLP]
    Comput. J., 2005, v:48, n:4, pp:451-459 [Journal]
  52. Lizy Kurian John
    Data Placement Schemes to Reduce Conflicts in Interleaved Memories. [Citation Graph (0, 0)][DBLP]
    Comput. J., 2000, v:43, n:2, pp:138-151 [Journal]
  53. Doug Burger, Stephen W. Keckler, Kathryn S. McKinley, Michael Dahlin, Lizy Kurian John, Calvin Lin, Charles R. Moore, James H. Burrill, Robert G. McDonald, William Yode
    Scaling to the End of Silicon with EDGE Architectures. [Citation Graph (0, 0)][DBLP]
    IEEE Computer, 2004, v:37, n:7, pp:44-55 [Journal]
  54. Yue Luo, Juan Rubio, Lizy Kurian John, Pattabi Seshadri, Alex E. Mericas
    Benchmarking Internet Servers on Superscalar Machines. [Citation Graph (0, 0)][DBLP]
    IEEE Computer, 2003, v:36, n:2, pp:34-40 [Journal]
  55. Joshua J. Yi, Lieven Eeckhout, David J. Lilja, Brad Calder, Lizy Kurian John, James E. Smith
    The Future of Simulation: A Field of Dreams. [Citation Graph (0, 0)][DBLP]
    IEEE Computer, 2006, v:39, n:11, pp:22-29 [Journal]
  56. Yue Luo, Lizy Kurian John, Lieven Eeckhout
    SMA: A Self-Monitored Adaptive Cache Warm-Up Scheme for Microprocessor Simulation. [Citation Graph (0, 0)][DBLP]
    International Journal of Parallel Programming, 2005, v:33, n:5, pp:561-581 [Journal]
  57. Shiwen Hu, Ravi Bhargava, Lizy Kurian John
    The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism. [Citation Graph (0, 0)][DBLP]
    J. Instruction-Level Parallelism, 2003, v:5, n:, pp:- [Journal]
  58. Deependra Talla, Lizy Kurian John
    MediaBreeze: a decoupled architecture for accelerating multimedia applications. [Citation Graph (0, 0)][DBLP]
    SIGARCH Computer Architecture News, 2001, v:29, n:5, pp:62-67 [Journal]
  59. Lizy Kurian John
    More on finding a single number to indicate overall performance of a benchmark suite. [Citation Graph (0, 0)][DBLP]
    SIGARCH Computer Architecture News, 2004, v:32, n:1, pp:3-8 [Journal]
  60. Tao Li, Ravi Bhargava, Lizy Kurian John
    Adapting branch-target buffer to improve the target predictability of java code. [Citation Graph (0, 0)][DBLP]
    TACO, 2005, v:2, n:2, pp:109-130 [Journal]
  61. Shiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John
    Effective management of multiple configurable units using dynamic optimization. [Citation Graph (0, 0)][DBLP]
    TACO, 2006, v:3, n:4, pp:477-501 [Journal]
  62. Lizy Kurian John, Yu-Cheng Liu
    Performance Model for a Prioritized Multiple-Bus Multiprocessor System. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 1996, v:45, n:5, pp:580-588 [Journal]
  63. Ajay Joshi, Aashish Phansalkar, Lieven Eeckhout, Lizy Kurian John
    Measuring Benchmark Similarity Using Inherent Program Characteristics. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2006, v:55, n:6, pp:769-782 [Journal]
  64. Lizy Kurian John, Paul T. Hulina, Lee D. Coraor
    Memory Latency Effects in Decoupled Architectures. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 1994, v:43, n:10, pp:1129-1139 [Journal]
  65. Byeong Kil Lee, Lizy Kurian John
    Implications of Executing Compression and Encryption Applications on General Purpose Processors. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2005, v:54, n:7, pp:917-922 [Journal]
  66. Tao Li, Lizy Kurian John
    ADir_pNB: A Cost-Effective Way to Implement Full Map Directory-Based Cache Coherence Protocols. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2001, v:50, n:9, pp:921-934 [Journal]
  67. Yue Luo, Lizy Kurian John
    Locality-Based Online Trace Compression. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2004, v:53, n:6, pp:723-731 [Journal]
  68. Ramesh Radhakrishnan, Narayanan Vijaykrishnan, Lizy Kurian John, Anand Sivasubramaniam, Juan Rubio, Jyotsna Sabarinathan
    Java Runtime Systems: Characterization and Architectural Implications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2001, v:50, n:2, pp:131-146 [Journal]
  69. Deependra Talla, Lizy Kurian John, Doug Burger
    Bottlenecks in Multimedia Processing with SIMD Style Extensions and Architectural Enhancements. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2003, v:52, n:8, pp:1015-1031 [Journal]
  70. Tao Li, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio
    OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2007, v:56, n:1, pp:2-17 [Journal]
  71. Juan Rubio, Lizy Kurian John
    Reducing Server Data Traffic Using a Hierarchical Computation Model. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2005, v:16, n:10, pp:933-943 [Journal]
  72. Madhavi Gopal Valluri, Lizy Kurian John, Heather Hanson
    Hybrid-Scheduling for Reduced Energy Consumption in High-Performance Processors. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2006, v:14, n:9, pp:1039-1043 [Journal]
  73. Byeong Kil Lee, Lizy Kurian John, Eugene John
    Architectural enhancements for network congestion control applications. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2006, v:14, n:6, pp:609-615 [Journal]
  74. Tao Li, Lizy Kurian John
    Operating system power minimization through run-time processor resource adaptation. [Citation Graph (0, 0)][DBLP]
    Microprocessors and Microsystems, 2006, v:30, n:4, pp:189-198 [Journal]
  75. Aashish Phansalkar, Ajay Joshi, Lizy Kurian John
    Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite. [Citation Graph (0, 0)][DBLP]
    ISCA, 2007, pp:412-423 [Conf]
  76. Ajay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John
    Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks. [Citation Graph (0, 0)][DBLP]
    IISWC, 2006, pp:105-115 [Conf]
  77. Joshua J. Yi, Resit Sendag, Lieven Eeckhout, Ajay Joshi, David J. Lilja, Lizy Kurian John
    Evaluating Benchmark Subsetting Approaches. [Citation Graph (0, 0)][DBLP]
    IISWC, 2006, pp:93-104 [Conf]

  78. Exploring the Application Behavior Space Using Parameterized Synthetic Benchmarks. [Citation Graph (, )][DBLP]


  79. Efficient program scheduling for heterogeneous multi-core processors. [Citation Graph (, )][DBLP]


  80. Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. [Citation Graph (, )][DBLP]


  81. Automated microprocessor stressmark generation. [Citation Graph (, )][DBLP]


  82. A Performance Counter Based Workload Characterization on Blue Gene/P. [Citation Graph (, )][DBLP]


  83. Evaluating the efficacy of statistical simulation for design space exploration. [Citation Graph (, )][DBLP]


  84. Measuring Program Similarity: Experiments with SPEC CPU Benchmark Suites. [Citation Graph (, )][DBLP]


  85. ESKIMO: Energy savings using Semantic Knowledge of Inconsequential Memory Occupancy for DRAM subsystem. [Citation Graph (, )][DBLP]


  86. Analyzing and Improving Clustering Based Sampling for Microprocessor Simulation. [Citation Graph (, )][DBLP]


  87. Avoiding store misses to fully modified cache blocks. [Citation Graph (, )][DBLP]


  88. Energy-aware application scheduling on a heterogeneous multi-core system. [Citation Graph (, )][DBLP]


  89. On the representativeness of embedded Java benchmarks. [Citation Graph (, )][DBLP]


  90. Archer: A Community Distributed Computing Infrastructure for Computer Architecture Research and Education [Citation Graph (, )][DBLP]


Search in 0.180secs, Finished in 0.182secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002