The SCEAS System
Navigation Menu

Conferences in DBLP

ACM Great Lakes Symposium on VLSI (glvlsi)
1998 (conf/glvlsi/1998)

  1. Bhanu Kapoor
    Low Power Memory Architectures for Video Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:2-6 [Conf]
  2. Luca Benini, Giovanni De Micheli, Alberto Macii, Enrico Macii, Massimo Poncino
    Reducing Power Consumption of Dedicated Processors Through Instruction Set Encoding. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:8-12 [Conf]
  3. A. M. Fahim, Muhammad M. Khellah, Mohamed I. Elmasry
    A Low-Power High-Performance Embedded SRAM Macrocell. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:13-17 [Conf]
  4. Amr G. Wassal, M. Anwarul Hasan, Mohamed I. Elmasry
    Low-Power Design of Finite Field Multipliers for Wireless Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:19-25 [Conf]
  5. Dusan Suvakovic, C. Andre T. Salama
    Guidelines for Use of Registers and Multiplexers in Low Power Low Voltage DSP Systems. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:26-29 [Conf]
  6. Seung-Moon Yoo, Seung-Moon Kang
    A Bootstrapped NMOS Charge Recovery Logic. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:30-33 [Conf]
  7. Richard F. Hobson
    Power Reducing Techniques for Clocked CMOS PLAs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:34-38 [Conf]
  8. Yehea I. Ismail, Eby G. Friedman, José Luis Neves
    Dynamic and Short-Circuit Power of CMOS Gates Driving Lossless Transmission Lines. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:39-44 [Conf]
  9. Ahmed M. Shams, Magdy A. Bayoumi
    A New Full Adder Cell for Low-Power Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:45-0 [Conf]
  10. Victor Varshavsky
    beta-Driven Threshold Elements. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:52-58 [Conf]
  11. José G. Delgado-Frias, Jabulani Nyathi
    A VLSI High-Performance Encoder with Priority Lookahead. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:59-64 [Conf]
  12. Mayukh Bhattacharya, Pinaki Mazumder
    Noise Margins of Threshold Logic Gates containing Resonant Tunneling Diodes. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:65-70 [Conf]
  13. Azman M. Yusof, Lim Chu Aun, S. M. Rezaul Hasan
    600 MHz Digitally Controlled BiCMOS Oscillator (DCO) for VLSI Signal Processing & Communication Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:71-76 [Conf]
  14. Tim Bakken, John Choma Jr.
    Stability of a Continuous-Time State Variable Filter with OP-AMP and OTA-C Integrators. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:77-82 [Conf]
  15. I. Thoidis, Dimitrios Soudris, Ioannis Karafyllidis, Adonios Thanailakis, Thanos Stouraitis
    Multiple-Valued Logic Voltage-Mode Storage Circuits Based On True-Single-Phase Clocked Logic. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:83-88 [Conf]
  16. João Navarro Jr., Wilhelmus A. M. Van Noije
    CMOS Tapered Buffer Design for Small Width Clock/Data Signal Propagation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:89-94 [Conf]
  17. Mohamed Nekili, Yvon Savaria, Guy Bois
    Design of Clock Distribution Networks in Presence of Process Variations. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:95-102 [Conf]
  18. João Navarro Jr., Wilhelmus A. M. Van Noije
    Design of an 8: 1 MUX at 1.7Gbit/s in 0.8?I`m CMOS Technology. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:103-107 [Conf]
  19. Pranjal Srivastava, Andrew Pua, Larry Welch
    Issues in the Design of Domino Logic Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:108-112 [Conf]
  20. Gianluca Giustolisi, Giovanni Palmisano, Gaetano Palumbo, C. Strano
    A Novel 1.5-V Cmos Mixer. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:113-117 [Conf]
  21. Can K. Sandalci, Sayfe Kiaei
    Analysis of Adaptive CMOS Down Conversion Mixers. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:118-121 [Conf]
  22. Hoda S. Abdel-Aty-Zohdy
    Artificial Neural Network Electronic Nose for Volatile Organic Compounds. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:122-0 [Conf]
  23. José G. Delgado-Frias, Richard Diaz
    A VLSI Self-Compacting Buffer for DAMQ Communication Switches. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:128-133 [Conf]
  24. Adger E. Harvin III, José G. Delgado-Frias
    A Dictionary Machine Emulation on a VLSI Computing Tree System. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:134-139 [Conf]
  25. Ashutosh Kulkarni, Navin Chander, Soumya Pillai, Lizy Kurian John
    Modeling and Analysis of The Difference-Bit Cache. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:140-145 [Conf]
  26. Sandeep Agarwal, Fayez El Guibaly
    Modeling of Shift Register-based ATM Switch. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:146-151 [Conf]
  27. Jen-Chien Tuan, Chein-Wei Jen
    An Architecture of Full-Search Block Matching for Minimum Memory Bandwidth Requirement. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:152-156 [Conf]
  28. Nien-Tsu Wang, Chen-Wei Shih, Duan Juat Wong-Ho, Nam Ling
    MPEG-2 Video Decoder for DVD. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:157-160 [Conf]
  29. Eric Senn, Bertrand Zavidovique
    A Self Timed Asynchronous Router for an Heterogeneous Parallel Machine. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:161-167 [Conf]
  30. Bassem A. Alhalabi, Qutaibah M. Malluhi, Rafic A. Ayoubi
    Non-Refreshing Analog Neural Storage Tailored for On-Chip Learning. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:168-0 [Conf]
  31. Yuke Wang, Xiaoyu Song, El Mostapha Aboulhamid
    Residue to Binary Number Converters for (2n-1, 2n, 2n+1). [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:174-178 [Conf]
  32. Inseop Lee, W. Kenneth Jenkins
    The Design of Residue Number System Arithmetic Units for A VLSI Adaptive Equalizer. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:179-184 [Conf]
  33. Alexander Skavantzos
    An Efficient Residue to Weighted Converter for a New Residue Number System. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:185-191 [Conf]
  34. Franco Maloberti, Chen Gang
    The Chinese Abacus Method: Can We Use It for Digital Arithmetic? [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:192-195 [Conf]
  35. Gwangwoo Choe, Earl E. Swartzlander Jr.
    Merged Arithmetic for Computing Wavelet Transforms. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:196-201 [Conf]
  36. Saeid Sadeghi-Emamchaie, Graham A. Jullien, Vassil S. Dimitrov, William C. Miller
    Digital Arithmetic Using Analog Arrays. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:202-207 [Conf]
  37. James E. Stine, Michael J. Schulte
    A Combined Interval and Floating Point Multiplier. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:208-0 [Conf]
  38. Irith Pomeranz, Sudhakar M. Reddy
    Test Compaction for Synchronous Sequential Circuits by Test Sequence Recycling. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:216-221 [Conf]
  39. Rajesh Raina, Robert F. Molyneaux
    Random Self-Test Method - Applications on PowerPC (tm) Microprocessor Caches. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:222-229 [Conf]
  40. B. Provost, E. Sanchez-Sinencio, A. M. Brosa
    A Unified Approach for a Time-Domain Built-In Self-Test Technique and Fault Detection. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:230-236 [Conf]
  41. F. S. Bietti, Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto
    VHDL Testability Analysis Based on Fault Clustering and Implicit Fault Injection. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:237-242 [Conf]
  42. Hendrawan Soeleman, Dinesh Somasekhar, Kaushik Roy
    IDD Waveforms Analysis for Testing of Domino and Low Voltage Static CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:243-248 [Conf]
  43. Kaamran Raahemifar, Majid Ahmadi
    A Design-for-Testability Technique for Detecting Delay Faults in Logic Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:249-0 [Conf]
  44. Robert H. Caverly
    Development of a CMOS Cell Library for RF Wireless and Telecommunications Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:258-263 [Conf]
  45. Carlo Samori, Andrea L. Lacaita, Alfio Zanchi, P. Vita
    Design Issues of LC Tuned Oscillators for Integrated Transceivers. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:264-269 [Conf]
  46. Massimo Alioto, Gaetano Palumbo
    Novel Simple Models Of Cml Propagation Delay. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:270-274 [Conf]
  47. John R. Long
    Next-Generation Narrowband RF Front-Ends in Silicon IC Technology. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:275-280 [Conf]
  48. Hassan O. Elwan, Mohammed Ismail
    Low Voltage Low power CMOS AGC circuit for wireless communication. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:281-285 [Conf]
  49. Louis Luh, John Choma Jr., Jeffrey T. Draper
    A Continuous-Time Switched-Current Sigma-Delta Modulator with Reduced Loop Delay. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:286-0 [Conf]
  50. Wilsin Gosti, Alberto L. Sangiovanni-Vincentelli, Tiziano Villa, Alexander Saldanha
    An Exact Input Encoding Algorithm for BDDs Representing FSMs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:294-300 [Conf]
  51. Sudhakar Bobba, Ibrahim N. Hajj
    Maximum Current Estimation in Programmable Logic Arrays. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:301-306 [Conf]
  52. Vishwani D. Agrawal, Sharad C. Seth
    Mutually Disjoint Signals and Probability Calculation in Digital Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:307-312 [Conf]
  53. Travis E. Doom, Jennifer L. White, Anthony S. Wojcik, Gregory H. Chisholm
    Identifying High-Level Components in Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:313-318 [Conf]
  54. Anthony D. Johnson
    Local Optimality Theory in VLSI Channel Routing: Composite Cyclic Vertical Constraints. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:319-324 [Conf]
  55. Wolfgang Günther, Rolf Drechsler
    Linear Transformations and Exact Minimization of BDDs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:325-330 [Conf]
  56. Luca Benini, Giovanni De Micheli, Antonio Lioy, Enrico Macii, Giuseppe Odasso, Massimo Poncino
    Timed Supersetting and the Synthesis of Telescopic Units. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:331-337 [Conf]
  57. Sadiq M. Sait, Habib Youssef, Munir M. Zahra
    Tabu Search Based Circuit Optimization. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:338-343 [Conf]
  58. Dirk Stroobandt, Fadi J. Kurdahi
    On the Characterization of Multi-Point Nets in Electronic Designs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:344-0 [Conf]
  59. Mostafa M. Aref, Khaled M. Elleithy
    HOOVER: Hardware Object-Oriented Verification. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:351-355 [Conf]
  60. Otmane Aït Mohamed, Eduard Cerny, Xiaoyu Song
    MDG-based Verification by Retiming and Combinational Transformations. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:356-361 [Conf]
  61. Arun Chandra, Li-C. Wang, Magdy S. Abadir
    Practical Considerations in Formal Equivalence Checking of PowerPC(tm) Microprocessors. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:362-367 [Conf]
  62. Jianping Lu, Sofiène Tahar
    Practical Approaches to the Automatic Verification of an ATM Switch Fabric Using VIS. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:368-0 [Conf]
  63. Mark A. Franklin, Prithvi Prabhu
    Performance Optimization of Self-Timed Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:374-379 [Conf]
  64. Ahmad S. Al-Mulhem, Alaaeldin Amin, Habib Youssef
    Stochastic Evolution Algorithm For Technology Mapping. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:380-385 [Conf]
  65. Kaisheng Wang, Ted Zhihong Yu, Edwin Hsing-Mean Sha
    RCRS: A Framework for Loop Scheduling with Limited Number of Registers. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:386-391 [Conf]
  66. Herwig Van Marck, Jo Depreitere, Dirk Stroobandt, Jan Van Campenhout
    A Quantitative Study of the Benefits of Area-I/O in FPGAs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:392-399 [Conf]
  67. Dale E. Hocevar, Ching-Yu Hung, Dan Pickens, Sundararajan Sriram
    Top-Down Design Using Cycle Based Simulation: an MPEG A/V Decoder Example. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:400-0 [Conf]
  68. Jim E. Crenshaw, Majid Sarrafzadeh
    Low Power Driven Scheduling and Binding. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:406-413 [Conf]
  69. Muhammad M. Khellah, Mohamed I. Elmasry
    Effective Capacitance Macro-Modelling for Architectural-Level Power Estimation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:414-419 [Conf]
  70. Vamsi Krishna, N. Ranganathan
    A Methodology for High Level Power Estimation and Exploration. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:420-425 [Conf]
  71. S. Gailhard, Nathalie Julien, Jean-Philippe Diguet, Eric Martin
    How to Transform an Architectural Synthesis Tool for Low Power VLSI Designs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:426-0 [Conf]
  72. Karen C. Davis, Satish Venkatesan, Lois M. L. Delcambre
    Sharing Electronic Design Data Via Semantic Spaces. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:432-439 [Conf]
  73. Rick Miller
    VHDL-based EDA Tool Implementation with Java. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:440-445 [Conf]
  74. David Hertweck, Mihaela Nica, Sang-Eon Park, Carla N. Purdy
    Standard Data Representations for VLSI Algorithm Development. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:446-451 [Conf]
  75. Teruhisa Hochin, Tatsuo Tsuji
    A Storage Structure for Graph-Oriented Databases Using an Array of Element Types. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1998, pp:452-0 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002