The SCEAS System
Navigation Menu

Conferences in DBLP

Design Automation Conference (DAC) (dac)
1990 (conf/dac/90)

  1. James Armstrong, Chang Cho, Sandeep Shah, Chakravarthy Kosaraju
    The VHDL Validation Suite. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:2-7 [Conf]
  2. Nagisa Ishiura, Hiroto Yasuura, Shuzo Yajima
    NES: The Behavioral Model for the Formal Semantics of a Hardware Design Language UDL/I. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:8-13 [Conf]
  3. Nikil D. Dutt, Tedd Hadley, Daniel Gajski
    An Intermediate Representation for Behavioral Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:14-19 [Conf]
  4. Ralph-Michael Kling, Prithviraj Banerjee
    Optimization by Simulated Evolution with Applications to Standard Cell Placement. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:20-25 [Conf]
  5. Youssef Saab, Vasant B. Rao
    Stochastic Evolution: a Fast Effective Heuristic for Some Generic Layout Problems. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:26-31 [Conf]
  6. Michael Upton, Khosrow Samii, Stephen Sugiyama
    Integrated Placement for Mixed Macro Cell and Standard Cell Designs. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:32-35 [Conf]
  7. Abhijit Chatterjee, Richard I. Hartley
    A New Simultaneous Circuit Partitioning and Chip Placement Approach Based on Simulated Annealing. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:36-39 [Conf]
  8. Karl S. Brace, Richard L. Rudell, Randal E. Bryant
    Efficient Implementation of a BDD Package. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:40-45 [Conf]
  9. Jerry R. Burch, Edmund M. Clarke, Kenneth L. McMillan, David L. Dill
    Sequential Circuit Verification Using Symbolic Model Checking. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:46-51 [Conf]
  10. Shin-ichi Minato, Nagisa Ishiura, Shuzo Yajima
    Shared Binary Decision Diagram with Attributed Edges for Efficient Boolean function Manipulation. [Citation Graph (1, 0)][DBLP]
    DAC, 1990, pp:52-57 [Conf]
  11. Petra Michel
    Women in the Microelectronics Industry (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:58- [Conf]
  12. David C. Ku, Giovanni De Micheli
    Relative Scheduling Under Timing Constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:59-64 [Conf]
  13. Cheng-Tsung Hwang, Yu-Chin Hsu, Youn-Long Lin
    Optimum and Heuristic Data Path Scheduling Under Resource Constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:65-70 [Conf]
  14. Richard J. Cloutier, Donald E. Thomas
    The Combination of Scheduling, Allocation, and Mapping in a Single Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:71-76 [Conf]
  15. Christos A. Papachristou, Haluk Konuk
    A Linear Program Driven Scheduling and Allocation Method Followed by an Interconnect Optimization Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:77-83 [Conf]
  16. Wilm E. Donath, Reini J. Norman, Bhuwan K. Agrawal, Stephen E. Bello, Sang-Yong Han, Jerome M. Kurtzberg, Paul Lowy, Roger I. McMillan
    Timing Driven Placement Using Complete Path Delays. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:84-89 [Conf]
  17. Suphachai Sutanthavibul, Eugene Shragowitz
    An Adaptive Timing-Driven Layout for High Speed VLSI. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:90-95 [Conf]
  18. Masayuki Terai, Kazuhiro Takahashi, Koji Sato
    A New Min-Cut Placement Algorithm for Timing Assurance Layout Design Meeting Net Length Constraint. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:96-102 [Conf]
  19. Ichiang Lin, David Hung-Chang Du
    Performance-Driven Constructive Placement. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:103-106 [Conf]
  20. Daniel R. Brasen, Michael L. Bushnell
    MHERTZ: A New Optimization Algorithm for Floorplanning and Global Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:107-110 [Conf]
  21. Karem A. Sakallah, Trevor N. Mudge, Kunle Olukotun
    Analysis and Design of Latch-Controlled Synchronous Digital Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:111-117 [Conf]
  22. Alan R. Martello, Steven P. Levitan, Donald M. Chiarulli
    Timing Verification Using HDTV. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:118-123 [Conf]
  23. Patrick C. McGeer, Robert K. Brayton
    Timing Analysis in Precharge/Unate Networks. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:124-129 [Conf]
  24. Nagisa Ishiura, Yutaka Deguchi, Shuzo Yajima
    Coded Time-Symbolic Simulation Using Shared Binary Decision Diagram. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:130-135 [Conf]
  25. Andrea Casotto, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli
    Design Management Based on Design Traces. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:136-141 [Conf]
  26. Pieter van der Wolf, G. W. Sloof, Peter Bingley, Patrick Dewilde
    Meta Data Management in the NELSIS CAD Framework. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:142-149 [Conf]
  27. Gwo-Dong Chen, Daniel Gajski
    An Intelligent Component Database for Behavioral Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:150-155 [Conf]
  28. Lung-Chun Liu
    Design Data Management in a CAD Framework Environment. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:156-161 [Conf]
  29. Douglas M. Grant, Peter B. Denyer
    Memory, Control and Communications Synthesis for Scheduled Algorithms. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:162-167 [Conf]
  30. Tai A. Ly, W. Lloyd Elwood, Emil F. Girczyc
    A Generalized Interconnect Model for Data Path Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:168-173 [Conf]
  31. Kristen N. McNall, Albert E. Casavant
    Automatic Operator Configuration in the Synthesis of Pipelined Architectures. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:174-179 [Conf]
  32. Ting-Chi Wang, D. F. Wong
    An Optimal Algorithm for Floorplan Area Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:180-186 [Conf]
  33. Suphachai Sutanthavibul, Eugene Shragowitz, J. Ben Rosen
    An Analytical Approach to Floorplan Design and Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:187-192 [Conf]
  34. Deborah C. Wang
    Pad Placement and Ring Routing for Custom Chip Layout. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:193-199 [Conf]
  35. Mike Spreitzer
    Comparing Structurally Different Views of a VLSI Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:200-212 [Conf]
  36. Abhijit Ghosh, Srinivas Devadas, A. Richard Newton
    Verification of Interacting Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:213-219 [Conf]
  37. Basant R. Chawla
    Distributed Computing Environment for Design Automation in the 90's (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:220- [Conf]
  38. Srinivas Devadas, Kurt Keutzer
    Synthesis and Optimization Procedures for Robustly Delay-Fault Testable Combinational Logic Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:221-227 [Conf]
  39. Kurt Keutzer, Sharad Malik, Alexander Saldanha
    Is Redundancy Necessary to Reduce Delay. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:228-234 [Conf]
  40. Vishwani D. Agrawal, Kwang-Ting Cheng
    Test Function Specification in Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:235-240 [Conf]
  41. Antun Domic
    Layout Synthesis of MOS Digital Cells. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:241-245 [Conf]
  42. Goro Suzuki, Yoshio Okamura
    A Practical Online Design Rule Checking System. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:246-252 [Conf]
  43. Erik C. Carlson, Rob A. Rutenbar
    Design and Performance Evaluation of New Massively Parallel VLSI Mask Verification Algorithms in JIGSAW. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:253-259 [Conf]
  44. Bruce A. Tonkin
    Circuit Extraction on a Message-Based Multiprocessor. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:260-265 [Conf]
  45. Timothy J. Barnes
    SKILL: A CAD System Extension Language. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:266-271 [Conf]
  46. Marwan A. Jabri
    BREL - a Prolog Knowledge-based System Shell for VLSI CAD. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:272-277 [Conf]
  47. Kenneth W. Fiduk, Sally Kleinfeldt, Marta Kosarchyn, Eileen B. Perez
    Design Methodology Management - a CAD Framework Initiative Perspective. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:278-283 [Conf]
  48. Hitomi Sato, Yoshihiro Yasue, Yusuke Matsunaga, Masahiro Fujita
    Boolean Resubstitution with Permissible Functions and Binary Decision Diagrams. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:284-289 [Conf]
  49. Abdul A. Malik, Robert K. Brayton, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli
    Reduced Offsets for Two-Level Multi-Valued Logic Minimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:290-296 [Conf]
  50. Hamid Savoj, Robert K. Brayton
    The Use of Observability and External Don't Cares for the Simplification of Multi-Level Networks. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:297-301 [Conf]
  51. Kwang-Ting Cheng, Vishwani D. Agrawal
    An Entropy Measure for the Complexity of Multi-Output Boolean Functions. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:302-305 [Conf]
  52. H. Cai, Stefaan Note, Paul Six, Hugo De Man
    A Data Path Layout Assembler for High Performance DSP Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:306-311 [Conf]
  53. Dwight D. Hill, Don Shugard
    Global Routing Considerations in a Cell Synthesis System. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:312-316 [Conf]
  54. Dwight D. Hill, Bryan Preas
    Benchmarks for Cell Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:317-320 [Conf]
  55. Tsuneo Okubo, Takashi Watanabe, Kou Wada
    New Algorithm for Overlapping Cell Treatment in Hierarchical CAD Data/Electron Beam Exposure Data Conversion. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:321-326 [Conf]
  56. Chin-Long Wey, Jyhyeung Ding, Tsin-Yuan Chang
    Design of Repairable and Fully Diagnosable Folded PLAs for Yield Enhancement. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:327-332 [Conf]
  57. D. David Forsythe, Atul P. Agarwal, Chune-Sin Yeh, Sheldon Aronowitz, Bhaskar Gadepally
    NASFLOW, a Simulation Tool for Silicon Technology Development. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:333-337 [Conf]
  58. Alberto L. Sangiovanni-Vincentelli
    Testing Strategies for the 1990's (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:338- [Conf]
  59. Kuang-Chien Chen, Saburo Muroga
    Timing Optimization for Multi-Level Combinational Networks. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:339-344 [Conf]
  60. Naohiro Kageyama, Chihei Miura, Tsuguo Shimizu
    Logic Optimization Algorithm by Linear Programming Approach. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:345-348 [Conf]
  61. Shen Lin, Malgorzata Marek-Sadowska, Ernest S. Kuh
    Delay and Area Optimization in Standard-Cell Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:349-352 [Conf]
  62. Pak K. Chan
    Algorithms for Library-Specific Sizing of Combinational Logic. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:353-356 [Conf]
  63. Kanwar Jit Singh, Alberto L. Sangiovanni-Vincentelli
    A Heuristic Algorithm for the Fanout Problem. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:357-360 [Conf]
  64. John P. Fishburn
    A Depth-Decreasing Heuristic for Combinational Logic: Or How To Convert a Ripple-Carry Adder Into A Carry-Lookahead Adder Or Anything in-between. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:361-364 [Conf]
  65. Pierre Abouzeid, K. Sakouti, Gabriele Saucier, F. Poirot
    Multilevel Synthesis Minimizing the Routing Factor. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:365-368 [Conf]
  66. Akira Onozawa
    Layout Compaction with Attractive and Repulsive Constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:369-374 [Conf]
  67. David Marple
    A Hierarchy Preserving Hierarchical Compactor. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:375-381 [Conf]
  68. Chi-Yuan Lo, Ravi Varadarajan
    An O(n1.5logn) 1-d Compaction Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:382-387 [Conf]
  69. Nobu Matsumoto, Yoko Watanabe, Kimiyoshi Usami, Yukio Sugeno, Hiroshi Hatada, Shojiro Mori
    Datapath Generator Based on Gate-Level Symbolic Layout. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:388-393 [Conf]
  70. Gih-Guang Hung, Yen-Cheng Wen, Kyle Gallivan, Resve A. Saleh
    Parallel Circuit Simulation Using Hierarchical Relaxation. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:394-399 [Conf]
  71. Gung-Chung Yang
    PARASPICE: A Parallel Circuit Simulator for Shared-Memory Multiprocessors. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:400-405 [Conf]
  72. Steven Paul McCormick, Jonathan Allen
    Waveform Moment Methods for Improved Interconnection Analysis. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:406-412 [Conf]
  73. K. Adamiak, R. Allen, J. Poltz, C. Rebizant, A. Wexler
    System Simulation of Printed Circuit Boards Including Packages and Connectors. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:413-418 [Conf]
  74. Wayne Bower, Carl Seaquist, Wayne Wolf
    A Framework for Industrial Layout Generators. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:419-424 [Conf]
  75. Jiri Soukup
    Organized C: A Unified Method of Handling Data in CAD Algorithms and Databases. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:425-430 [Conf]
  76. Moon-Jung Chung, Sangchul Kim
    An Object-Oriented VHDL Design Environment. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:431-436 [Conf]
  77. S. J. Feghhi, Michael M. Marefat, Rangasami L. Kashyap
    An Object-Oriented Kernel for an Integrated Design and Process Planning System. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:437-443 [Conf]
  78. Roni Potasman, Joseph Lis, Alexandru Nicolau, Daniel Gajski
    Percolation Based Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:444-449 [Conf]
  79. Raul Compasano, Reinaldo A. Bergamaschi
    Synthesis Using Path-Based scheduling: algorithms and Exercises. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:450-455 [Conf]
  80. Josef Scheichenzuber, Werner Grass, Ulrich Lauther, Sabine März
    Global Hardware Synthesis from Behavioral Dataflow Descriptions. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:456-461 [Conf]
  81. Uminder Singh, C. Y. Roger Chen
    A Transistor Reordering Technique for Gate Matrix Layout. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:462-467 [Conf]
  82. Knut M. Just, Edgar Auer, Werner L. Schiele, Alexander Schwaferts
    PALACE: A Kayout Generator for SCVS Logic Blocks. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:468-473 [Conf]
  83. Yung-Ching Hsieh, Chi-Yi Hwang, Youn-Long Lin, Yu-Chin Hsu
    LiB: A Cell Layout Generator. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:474-479 [Conf]
  84. Peter M. Maurer, Zhicheng Wang
    Techniques for Unit-Delay Compiled Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:480-484 [Conf]
  85. K. Subramanian, Mehdi R. Zargham
    Distributed and Parallel Demand Driven Logic Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:485-490 [Conf]
  86. Zhicheng Wang, Peter M. Maurer
    LECSIM: A Levelized Event Driven Compiled Logic Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:491-496 [Conf]
  87. A. Richard Newton
    Standards, Openness and Design Environments in Electronic Design Automation (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:497-498 [Conf]
  88. Chu-Yi Huang, Yen-Shen Chen, Youn-Long Lin, Yu-Chin Hsu
    Data Path Allocation Based on Bipartite Weighted Matching. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:499-504 [Conf]
  89. Nam Sung Woo
    A Global, Dynamic Register Allocation and Binding for a Data Path Synthesis System. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:505-510 [Conf]
  90. Kayhan Küçükçakar, Alice C. Parker
    Data Path Tradeoffs Using MABAL. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:511-516 [Conf]
  91. Randal E. Bryant
    Symbolic Simulation - Techniques and Applications. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:517-521 [Conf]
  92. Eun Sei Park, M. Ray Mercer
    An Efficient Delay Test Generation System for Combinational Logic Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:522-528 [Conf]
  93. Noriyuki Ito
    Automatic Incorporation of On-Chip Testability Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:529-534 [Conf]
  94. Thomas M. Niermann, Wu-Tung Cheng, Janak H. Patel
    Proofs: A Fast, Memory Efficient Sequential Circuit Fault Simulator. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:535-540 [Conf]
  95. William Lattin
    Integration of Hardware and Software in Embedded Systems Design (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:541- [Conf]
  96. Mauricio Breternitz Jr., John Paul Shen
    Architecture Synthesis of High-Performance Application-Specific Processors. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:542-548 [Conf]
  97. Robin C. Sarma, Mark D. Dooley, N. Craig Newman, Graham Hetherington
    High-Level Synthesis: Technology Transfer to Industry. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:549-554 [Conf]
  98. Patrick Edmond, Anurag P. Gupta, Daniel P. Siewiorek, Audrey A. Brennan
    ASSURE: Automated Design for Dependability. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:555-560 [Conf]
  99. Umakanta Choudhury, Alberto L. Sangiovanni-Vincentelli
    Constraint Generation for Routing Analog Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:561-566 [Conf]
  100. Jonathan W. Greene, Vwani P. Roychowdhury, Sinan Kaptanoglu, Abbas El Gamal
    Segmented Channel Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:567-572 [Conf]
  101. Michael A. B. Jackson, Arvind Srinivasan, Ernest S. Kuh
    Clock Routing for High-Performance ICs. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:573-579 [Conf]
  102. Abhijit Ghosh, Srinivas Devadas, A. Richard Newton
    Sequential Test Generation at the Register-Transfer and Logic Levels. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:580-586 [Conf]
  103. P. C. Ward, James R. Armstrong
    Behavioral Fault Simulation in VHDL. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:587-593 [Conf]
  104. Ramachandra P. Kunda, Jacob A. Abraham, Bharat Deep Rathi, Prakash Narain
    Speed Up of Test Generation Using High-Level Primitives. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:594-599 [Conf]
  105. Kurt Keutzer
    Impact and Evaluation of Competing Implementation Media for ASIC's (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:600- [Conf]
  106. Pranav Ashar, Srinivas Devadas, A. Richard Newton
    A Unified Approach to the Decomposition and Re-Decomposition of Sequential Machines. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:601-606 [Conf]
  107. Sujit Dey, Franc Brglez, Gershon Kedem
    Corolla Based Circuit Partitioning and Resynthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:607-612 [Conf]
  108. Robert J. Francis, Jonathan Rose, Kevin Chung
    Chortle: A Technology Mapping Program for Lookup Table-Based Field Programmable Gate Arrays. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:613-619 [Conf]
  109. Rajeev Murgai, Yoshihito Nishizaki, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Logic Synthesis for Programmable Gate Arrays. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:620-625 [Conf]
  110. Werner L. Schiele, Th. Krüger, Knut M. Just, F. H. Kirsch
    A Gridless Router for Industrial Design Rules. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:626-631 [Conf]
  111. Ramin Hojati
    Layout Optimization by Pattern Modification. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:632-637 [Conf]
  112. Yang Cai, D. F. Wong
    A Channel/Switchbox Definition Algorithm for Building-Block Layout. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:638-641 [Conf]
  113. Masato Edahiro, Takeshi Yoshimura
    New Placement and Global Routing Algorithms for Standard Cell Layouts. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:642-645 [Conf]
  114. Masao Sato, Kazuto Kubota, Tatsuo Ohtsuki
    A Hardware Implementation of Gridless Routing Based on Content Addressable Memory. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:646-649 [Conf]
  115. Randall J. Brouwer, Prithviraj Banerjee
    PHIGURE: A Parallel Hierarchical Global Router. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:650-653 [Conf]
  116. Srimat T. Chakradhar, Vishwani D. Agrawal, Michael L. Bushnell
    Automatic Test Generation Using Quadratic 0-1 Programming. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:654-659 [Conf]
  117. Hyung Ki Lee, Dong Sam Ha
    SOPRANO: An Efficient Automatic Test Pattern Generator for Stuck-Open Faults in CMOS Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:660-666 [Conf]
  118. John Giraldi, Michael L. Bushnell
    EST: The New Frontier in Automatic Test-Pattern Generation. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:667-672 [Conf]
  119. Kenneth M. Butler, M. Ray Mercer
    The Influences of Fault Type and Topology on Fault Model Performance and the Implications to Test and Testable Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:673-678 [Conf]
  120. Tim Andrews
    Object Databases in Electronic Design: Implementation Experiences (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:679- [Conf]
  121. Gregory S. Whitcomb, A. Richard Newton
    Abstract Data Types and High-Level Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:680-685 [Conf]
  122. Ajay J. Daga, William P. Birmingham
    Failure Recovery in the MICON System. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:686-691 [Conf]
  123. Wayne Wolf
    The FSM Network Model for Behavioral Synthesis of Control-Dominated Machines. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:692-697 [Conf]
  124. Roshan A. Gidwani, Naveed A. Sherwani
    MISER: An Integrated Three Layer Gridless Channel Router and Compactor. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:698-703 [Conf]
  125. Evagelos Katsadas, Edwin Kinnen
    A Multi-Layer Router Utilizing Over-Cell Areas. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:704-708 [Conf]
  126. Jason Cong, Bryan Preas, C. L. Liu
    General Models and Algorithms for Over-the-Cell Routing in Standard Cell Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:709-715 [Conf]
  127. Tyh-Song Hwang, Chung-Len Lee, Wen-Zen Shen, Ching Ping Wu
    A Parallel Pattern Mixed-Level Fault Simulator. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:716-719 [Conf]
  128. T. Ramakrishnan, L. Kinney
    Extension of the Critical Path Tracing Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:720-723 [Conf]
  129. Shambhu J. Upadhyaya, John A. Thodiyil
    BIST PLAs, Pass or Fail - A Case Study. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:724-727 [Conf]
  130. Weiwei Mao, Michael D. Ciletti
    A Variable Observation Time Method for Testing Delay Faults. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:728-731 [Conf]
  131. T. Y. Kuo, J. Y. Lee, J. F. Wang
    A Fault Analysis Method for Synchronous Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:732-735 [Conf]
  132. Sreejit Chakravarty
    On Synthesizing and Identifying Stuck-Open Testable CMOS Combinational Circuits (extended abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1990, pp:736-739 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002