The SCEAS System
Navigation Menu

Conferences in DBLP

International Test Conference (ITC) (itc)
1996 (conf/itc/1996)

  1. Walden C. Rhines
    Emerging Technologies Drive Domain-Specific Solutions. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:10- [Conf]
  2. Wojciech Maly
    New and Not-So-New Test Challenges of the Next Decade. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:11- [Conf]
  3. Peter Wohl, John A. Waicukauski
    Test Generation for Ultra-Large Circuits Using ATPG Constraints and Test-Pattern Templates. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:13-20 [Conf]
  4. Mitsuo Teramoto, Tomoo Fukazawa
    Test Pattern Generation for Circuits with Asynchronous Signals Based on Scan. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:21-28 [Conf]
  5. M. H. Konijnenburg, J. Th. van der Linden, A. J. van de Goor
    Accelerated Compact Test Set Generation for Three-State Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:29-38 [Conf]
  6. Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda
    Comparing Topological, Symbolic and GA-based ATPGs: An Experimental Approach. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:39-47 [Conf]
  7. Yuejian Wu, Saman Adham
    BIST Fault Diagnosis in Scan-Based VLSI Environments. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:48-57 [Conf]
  8. Pieter M. Trouborst
    LFSR Reseeding as a Component of Board Level BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:58-67 [Conf]
  9. Charles E. Stroud, Eric Lee, Srinivasa Konala, Miron Abramovici
    Using ILA Testing for BIST in FPGAs. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:68-75 [Conf]
  10. Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian
    An Effective BIST Scheme for Datapaths. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:76-85 [Conf]
  11. Seiji Sasho, Teruhisa Sakata
    Four Multi Probing Test for 16 Bit DAC with Vertical Contact Probe Card. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:86-91 [Conf]
  12. Keith Lofstrom
    A Demonstration IC for the P1149.4 Mixed-Signal Test Standard. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:92-98 [Conf]
  13. Koji Asami
    Testing the Digital Modulation of PHS Devices. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:99-103 [Conf]
  14. Barry D. Kulp
    Testing and Characterizing Jitter in 100BASE-TX and 155.52 Mbit/S ATM Devices with a 1 Gsample/s AWG in an ATE System. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:104-111 [Conf]
  15. Kenji Isawa, Yoshihiro Hashimoto
    High-Speed IDDQ Measurement Circuit. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:112-117 [Conf]
  16. Solomon Max
    Extending Calibration Intervals. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:118-126 [Conf]
  17. Steven DeFoster, Dennis Karst, Matthew Peterson, Paul Sendelbach, Kirk Kottschade
    Manufacturing Test of Fiber Channel Communications Cards and Optical Subassemblies. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:127-134 [Conf]
  18. Bejoy G. Oomman, Wu-Tung Cheng, John A. Waicukauski
    A Universal Technique for Accelerating Simulation of Scan Test Patterns. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:135-141 [Conf]
  19. Elizabeth M. Rudnick, Janak H. Patel, Irith Pomeranz
    On Potential Fault Detection in Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:142-149 [Conf]
  20. Weiwei Mao, Ravi K. Gulati
    Improving Gate Level Fault Coverage by RTL Fault Grading. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:150-159 [Conf]
  21. Sankaran Karthik, Mark Aitken, Glidden Martin, Srinivasu Pappula, Bob Stettler, Praveen Vishakantaiah, Manuel A. d'Abreu, Jacob A. Abraham
    Distributed Mixed Level Logic and Fault Simulation on the Pentium® Pro Microprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:160-166 [Conf]
  22. Nur A. Touba, Edward J. McCluskey
    Altering a Pseudo-Random Bit Sequence for Scan-Based BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:167-175 [Conf]
  23. Mohammed F. AlShaibi, Charles R. Kime
    MFBIST: A BIST Method for Random Pattern Resistant Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:176-185 [Conf]
  24. Nadime Zacharia, Janusz Rajski, Jerzy Tyszer, John A. Waicukauski
    Two-Dimensional Test Data Decompressor for Multiple Scan Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:186-194 [Conf]
  25. Sybille Hellebrand, Hans-Joachim Wunderlich, Andre Hertwig
    Mixed-Mode BIST Using Embedded Processors. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:195-204 [Conf]
  26. Marly Roncken, Eric Bruls
    Test Quality of Asynchronous Circuits: A Defect-oriented Evaluation. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:205-214 [Conf]
  27. Marly Roncken, Emile H. L. Aarts, Wim F. J. Verhaegh
    Optimal Scan for Pipelined Testing: An Asynchronous Foundation. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:215-224 [Conf]
  28. Volker Schöber, Thomas Kiel
    An Asynchronous Scan Path Concept for Micropipelines using the Bundled Data Convention. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:225-231 [Conf]
  29. Montek Singh, Steven M. Nowick
    Synthesis-for-Initializability of Asynchronous Sequential Machines. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:232-241 [Conf]
  30. Timothy R. Henry, Thomas Soo
    Burn-in Elimination of a High Volume Microprocessor Using IDDQ. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:242-249 [Conf]
  31. Peter C. Maxwell, Robert C. Aitken, Kathleen R. Kollitz, Allen C. Brown
    IDDQ and AC Scan: The War Against Unmodelled Defects. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:250-258 [Conf]
  32. Alan W. Righter, Jerry M. Soden, Richard W. Beegle
    High Resolution IDDQ Characterization and Testing - Practical Issues. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:259-268 [Conf]
  33. K. Ozaki, H. Sekiguchi, S. Wakana, Y. Goto, Y. Umehara, J. Matsumoto
    Novel Optical Probing System with Submicron Spatial Resolution for Internal Diagnosis of VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:269-275 [Conf]
  34. Marwan A. Gharaybeh, Michael L. Bushnell, Vishwani D. Agrawal
    An Exact Non-Enumerative Fault Simulator for Path-Delay Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:276-285 [Conf]
  35. Patrick Girard, Christian Landrault, Serge Pravossoudovitch, B. Rodriguez
    A Diagnostic ATPG for Delay Faults Based on Genetic Algorithms. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:286-293 [Conf]
  36. Ismed Hartanto, Vamsi Boppana, W. Kent Fuchs
    Diagnostic Fault Equivalence Identification Using Redundancy Information and Structural Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:294-302 [Conf]
  37. Piero Olivo, Marcello Dalpasso
    Self-Learning Signature Analysis for Non-Volatile Memory Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:303-308 [Conf]
  38. Anne Meixner, Jash Banik
    Weak Write Test Mode: An SRAM Cell Stability Design for Test Technique. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:309-318 [Conf]
  39. Narumi Sakashita, Fumihiro Okuda, Ken'ichi Shimomura, Hiroki Shimano, Mitsuhiro Hamada, Tetsuo Tada, Shinji Komori, Kazuo Kyuma, Akihiko Yasuoka, Haruhiko Abe
    A Built-In Self-Test Circuit with Timing Margin Test Function in a 1Gbit Synchronous DRAM. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:319-324 [Conf]
  40. Christophe Vaucher, Louis Balme
    Analog/Digital Testing of Loaded Boards Without Dedicated Test Points. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:325-332 [Conf]
  41. Mick Tegethoff, Kenneth P. Parker, Ken Lee
    Opens Board Test Coverage: When is 99% Really 40%? [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:333-339 [Conf]
  42. D. Eugene Wedge, Tom Conner
    A Roadmap for Boundary-Scan Test Reuse. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:340-346 [Conf]
  43. Harry Hengster, Uwe Sparmann, Bernd Becker, Sudhakar M. Reddy
    Local Transformations and Robust Dependent Path Delay. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:347-356 [Conf]
  44. Irith Pomeranz, Sudhakar M. Reddy
    On Cancelling the Effects of Logic Sharing for Improved Path Delay Fault Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:357-366 [Conf]
  45. Jonathan T.-Y. Chang, Edward J. McCluskey
    Detecting Delay Flaws by Very-Low-Voltage Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:367-376 [Conf]
  46. F. Pichon
    Testability Features for a Submicron Voice-coder ASIC. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:377-385 [Conf]
  47. Hugo Cheung, Sandeep K. Gupta
    A BIST Methodology for Comprehensive Testing of RAM with Reduced Heat Dissipation. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:386-395 [Conf]
  48. Wayne M. Needham, Naga Gollakota
    DFT Strategy for Intel Microprocessors. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:396-399 [Conf]
  49. Lee Whetsel
    Proposal to Simplify Development of a Mixed-Signal Test Standard. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:400-409 [Conf]
  50. Robert J. Russell
    A Method of Extending an 1149.1 Bus for Mixed-Signal Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:410-416 [Conf]
  51. Keith Lofstrom
    Early Capture for Boundary Scan Timing Measurements. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:417-422 [Conf]
  52. Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar
    Identification and Test Generation for Primitive Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:423-432 [Conf]
  53. G. M. Luong, D. M. H. Walker
    Test Generation for Global Delay Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:433-442 [Conf]
  54. Dimitrios Karayiannis, Spyros Tragoudas
    ATPD: An Automatic Test Pattern Generator for Path Delay Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:443-452 [Conf]
  55. Yasuji Oyama, Toshinobu Kanai, Hironobu Niijima
    Scan Design Oriented Test Technique for VLSI's Using ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:453-460 [Conf]
  56. Klaus Helmreich, G. Reinwardt
    Virtual Test of Noise and Jitter Parameters. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:461-470 [Conf]
  57. Yuhai Ma, Wanchun Shi
    A Novel Approach to the Analysis of VLSI Device Test Programs. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:471-480 [Conf]
  58. James F. Plusquellic, Donald M. Chiarulli, Steven P. Levitan
    Digital Integrated Circuit Testing using Transient Signal Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:481-490 [Conf]
  59. Jos van Sas, Urbain Swerts, Marc Darquennes
    Towards an Effective IDDQ Test Vector Selection and Application Methodology. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:491-500 [Conf]
  60. Theo J. Powell, James R. Pair, Bernard G. Carbajal III
    Correlating Defects to Functional and IDDQ Tests. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:501-510 [Conf]
  61. Thomas Olbrich, Jordi Pérez, Ian A. Grout, Andrew M. D. Richardson, Carles Ferrer
    Defect-Oriented vs. Schematic-Level Based Fault Simulation for Mixed-Signal ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:511-520 [Conf]
  62. Giri Devarayanadurg, Prashant Goteti, Mani Soma
    Hierarchy Based Statistical Fault Simulation of Mixed-Signal ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:521-527 [Conf]
  63. Evan M. Hawrysh, Gordon W. Roberts
    An Integration of Memory-Based Analog Signal Generation into Current DFT Architectures. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:528-537 [Conf]
  64. Vamsi Boppana, W. Kent Fuchs
    Partial Scan Design Based on State Transition Modeling. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:538-547 [Conf]
  65. Dong Xiang, Janak H. Patel
    A Global Algorithm for the Partial Scan Design Problem Using Circuit State Information. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:548-557 [Conf]
  66. Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda
    Partial Scan Flip Flop Selection for Simulation-Based Sequential ATPGs. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:558-564 [Conf]
  67. Anthony Taylor, Gregory A. Maston
    Standard Test Interface Language (STIL): A New Language for Patterns and Waveforms. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:565-570 [Conf]
  68. Naim Ben Hamida, Khaled Saab, David Marche, Bozena Kaminska, Guy Quesnel
    LIMSoft: Automated Tool for Design and Test Integration of Analog Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:571-580 [Conf]
  69. Ilene Burnstein, Taratip Suwannasart, Robert Carlson
    Developing a Testing Maturity Model for Software Test Process Evaluation and Improvement. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:581-589 [Conf]
  70. Von-Kyoung Kim, Mick Tegethoff, Tom Chen
    ASIC Yield Estimation at Early Design Cycle. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:590-594 [Conf]
  71. Daniel P. Core
    Risk Assessment Sampling Plans for Non-Standard (Maverick) Material. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:595-604 [Conf]
  72. Jos van der Peet, Ger van Boxem
    SPC on the IC-Production Test Process. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:605-610 [Conf]
  73. David B. Lavo, Tracy Larrabee, Brian Chess
    Beyond the Byzantine Generals: Unexpected Behaviour and Bridging Fault Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:611-619 [Conf]
  74. F. Celeiro, L. Dias, J. Ferreira, Marcelino B. Santos, João Paulo Teixeira
    Defect-Oriented IC Test and Diagnosis Using VHDL Fault Simulation. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:620-628 [Conf]
  75. Li-C. Wang, M. Ray Mercer, Thomas W. Williams
    Using Target Faults To Detect Non-Tartget Defects. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:629-638 [Conf]
  76. Sandeep Bhatia, Tushar Gheewala, Prab Varma
    A Unifying Methodology for Intellectual Property and Custom Logic Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:639-648 [Conf]
  77. Nagesh Tamarapalli, Janusz Rajski
    Constructive Multi-Phase Test Point Insertion for Scan-Based BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:649-658 [Conf]
  78. Robert B. Norwood, Edward J. McCluskey
    Orthogonal Scan: Low-Overhead Scan for Data Paths. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:659-668 [Conf]
  79. Kazunori Chihara, Takashi Sekino, Koji Sasaki
    An Application of Photoconductive Switch for High-Speed Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:669-676 [Conf]
  80. Hideaki Imada, Kenichi Fujisaki, Toshimi Ohsawa, Masaru Tsuto
    Generation Technique of 500MHz Ultra-High Speed Algorithmic Pattern. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:677-684 [Conf]
  81. Michael G. Davis
    The Effect of Periof Generation Techniques on Period Resolution and Waveform Jitter in VLSI Test Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:685-690 [Conf]
  82. Piero Franco, Siyad C. Ma, Jonathan Chang, Yi-Chin Chu, Sanjay Wattal, Edward J. McCluskey, Robert L. Stokes, William D. Farwell
    Analysis and Detection of Timing Failures in an Experimental Test Chip. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:691-700 [Conf]
  83. David Potts, Roger Griesmer
    A Unique Methodology for At-Speed Test of cDSPTM and ASIC Devices. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:701-707 [Conf]
  84. Ralf Stoffels
    Cost Effective Frequency Measurement for Production Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:708-716 [Conf]
  85. Wuudiann Ke
    Backplane Interconnect Test in a Boundary-Scan Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:717-724 [Conf]
  86. Yves Le Traon, Ghassan Al Hayek, Chantal Robach
    Testability-Oriented Hardware/Software Partitioning. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:725-731 [Conf]
  87. Pablo Sanchez, Isabel Hidalgo
    System Level Fault Simulation. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:732-740 [Conf]
  88. Tom Eberle, Robert McVay, Chris Meyers, Jason Moore
    ASIC BIST Synthesis: A VHDL Approach. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:741-750 [Conf]
  89. James Beausang, Chris Ellingham, Markus Robinson
    Integrating Scan into Hierarchical Synthesis Methodologies. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:751-756 [Conf]
  90. Vishwani D. Agrawal, Ronald D. Blanton, Maurizio Damiani
    Synthesis of Self-Testing Finite State Machines from High-Level Specifications. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:757-766 [Conf]
  91. Yuyun Liao, D. M. H. Walker
    Fault Coverage Analysis for Physically-Based CMOS Bridging Faults at Different Power Supply Voltages. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:767-775 [Conf]
  92. Michael J. Ohletz
    Realistic-Faults Mapping Scheme for the Fault Simulation of Integrated Analogue CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:776-785 [Conf]
  93. Thomas W. Williams, R. H. Dennard, Rohit Kapur, M. Ray Mercer, Wojciech Maly
    IDDQ Test: Sensitivity Analysis of Scaling. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:786-792 [Conf]
  94. Hiromu Fujioka, Koji Nakamae, Akio Higashi
    Effects of Multi-Product, Small-Sized Production of LSIs Packaged in Various Packages on the Final Test Process Efficiency and Cost. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:793-799 [Conf]
  95. Felix Frayman, Mick Tegethoff, Brenton White
    Issues in Optimizing the Test Process - A Telecom Case Study. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:800-808 [Conf]
  96. Matthew Boutin, Peter Dziel
    Application of Boundary Scan in a Fault Tolerant Computer System. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:809-817 [Conf]
  97. Koppolu Sasidhar, Abhijit Chatterjee, Yervant Zorian
    Optimal Multiple Chain Relay Testing Scheme for MCMs on Large Area Substrates. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:818-827 [Conf]
  98. Andrew Flint
    Three Different MCMs, Three Different Test Strategies. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:828-833 [Conf]
  99. Edward P. Sayre
    MCM Compute Node Thermal Failure - Design or Test Problem? [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:834-838 [Conf]
  100. Carl Pixley, Noel R. Strader, W. C. Bruce, Jaehong Park, Matt Kaufmann, Kurt Shultz, Michael Burns, Jainendra Kumar, Jun Yuan, Janet Nguyen
    Commercial Design Verification: Methodology and Tools. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:839-848 [Conf]
  101. Marc E. Levitt
    Formal Verification of the UltraSPARCTM Family of Processors via ATPG Methods. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:849-856 [Conf]
  102. Neeta Ganguly, Magdy S. Abadir, Manish Pandey
    PowerPCTM Array Verification Methodology using Formal Techniques. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:857-864 [Conf]
  103. Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Uwe Gläser
    An ATPG-Based Framework for Verifying Sequential Equivalence. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:865-874 [Conf]
  104. Dinos Moundanos, Jacob A. Abraham, Yatin Vasant Hoskote
    A Unified Framework for Design Validation and Manufacturing Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:875-884 [Conf]
  105. Ghassan Al Hayek, Chantal Robach
    From Specification Validation to Hardware Testing: A Unified Method. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:885-893 [Conf]
  106. Duncan Clarke, Insup Lee
    Testing-Based Analysis of Real-Time System Models. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:894-903 [Conf]
  107. Irith Pomeranz, Nirmal R. Saxena, Richard Reeve, Paritosh Kulkarni, Yan A. Li
    Generation of Test Cases for Hardware Design Verification of a Super-Scalar Fetch Processor. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:904-913 [Conf]
  108. Melvin A. Breuer, Sandeep K. Gupta
    Process-Aggravated Noise (PAN): New Validation and Test Problems. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:914-923 [Conf]
  109. Kenneth P. Parker
    Introduction ITC 1996 Lecture Series on Unpowered Opens Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:924- [Conf]
  110. Ted T. Turner
    Capacitive Leadframe Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:925- [Conf]
  111. Jack Ferguson
    High Fault Coverage of In-Circuit IC Pin Faults with a Vectorless Test Technique Using Parasitic Transistors. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:926- [Conf]
  112. Joe Wrinn
    Two New Techniques for Identifying Opens on Printed Circuit Boards: Analog Junction Test, and Radio Frequency Induction Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:927- [Conf]
  113. Anthony J. Suto
    Analog AC Harmonic Method for Detecting Solder Opens. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:928- [Conf]
  114. Stig Oresjo
    Unpowered Opens Test with X-Ray Laminography. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:929- [Conf]
  115. David P. Vallett
    An Overview of CMOS VLSI Failure Analysis and the Importance of Test and Diagnostics. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:930- [Conf]
  116. Robert C. Aitken
    Modelling the Unmodellable: Algorithmic Fault Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:931- [Conf]
  117. Keith Baker, Jos van Beers
    Shmoo Plots - the Black Art of IC Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:932-933 [Conf]
  118. Kenneth M. Butler, Karl Johnson, Jeff Platt, Anjali Jones, Jayashree Saxena
    Integrating Automated Diagnosis into the Testing and Failure Analysis Operations. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:934- [Conf]
  119. Jerry M. Soden, Richard E. Anderson, Christopher L. Henderson
    IC Failure Analysis Tools and Techniques - Macig, Mystery, and Science. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:935- [Conf]
  120. Donald Staab
    Practical Issues of Failure Diagnosis and Analysis in a Fast Cycle Time Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:936- [Conf]
  121. William R. Simpson
    The Key to Concurrent Engineering is Design Tools. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:937- [Conf]
  122. Stephen B. Furber
    The Return of Asynchronous Logic. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:938- [Conf]
  123. Marly Roncken
    Asynchronous Design: Working the Fast Lane. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:939- [Conf]
  124. Rochit Rajsuman
    Challenge of the 90's: Testing CoreWareTM Based ASICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:940- [Conf]
  125. Peter Dziel
    The Need for Complete System Level Test Standardization. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:941- [Conf]
  126. Manoj Sachdev
    Deep Sub-micron IDDQ Test Options. [Citation Graph (0, 0)][DBLP]
    ITC, 1996, pp:942- [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002