The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
1996 (conf/iccad/1996)

  1. Ruchir Puri, Andrew Bjorksten, Thomas E. Rosser
    Logic optimization by output phase assignment in dynamic logic synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:2-7 [Conf]
  2. Xiaoqing Wen, Kewal K. Saluja
    A new method towards achieving global optimality in technology mapping. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:9-12 [Conf]
  3. Juinn-Dar Huang, Jing-Yang Jou, Wen-Zen Shen
    An iterative area/performance trade-off algorithm for LUT-based FPGA technology mapping. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:13-17 [Conf]
  4. Guowu Zheng, Qi-Jun Zhang, Michel S. Nakhla, Ramachandra Achar
    An efficient approach for moment-matching simulation of linear subnetworks with measured or tabulated data. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:20-23 [Conf]
  5. Steven D. Corey, Andrew T. Yang
    Automatic netlist extraction for measurement-based characterization of off-chip interconnect. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:24-29 [Conf]
  6. Andrew B. Kahng, Kei Masuko, Sudhakar Muddu
    Analytical delay models for VLSI interconnects under ramp input. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:30-36 [Conf]
  7. Chung-Ping Chen, Hai Zhou, D. F. Wong
    Optimal non-uniform wire-sizing under the Elmore delay model. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:38-43 [Conf]
  8. Takumi Okamoto, Jason Cong
    Buffered Steiner tree construction with wire sizing for interconnect layout optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:44-49 [Conf]
  9. Daksh Lehther, Sachin S. Sapatnekar
    Clock tree synthesis for multi-chip modules. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:50-53 [Conf]
  10. Wanlin Cao, Dhiraj K. Pradhan
    Sequential redundancy identification using recursive learning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:56-62 [Conf]
  11. Ismed Hartanto, Vamsi Boppana, W. Kent Fuchs
    Identification of unsettable flip-flops for partial scan and faster ATPG. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:63-66 [Conf]
  12. Elizabeth M. Rudnick, Janak H. Patel
    Simulation-based techniques for dynamic test sequence compaction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:67-73 [Conf]
  13. Woohyuk Lee, Abelardo Pardo, Jae-Young Jang, Gary D. Hachtel, Fabio Somenzi
    Tearing based automatic abstraction for CTL model checking. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:76-81 [Conf]
  14. Hiroaki Iwashita, Tsuneo Nakata, Fumiyasu Hirose
    CTL model checking based on forward state traversal. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:82-87 [Conf]
  15. Dhiraj K. Pradhan, Debjyoti Paul, Mitrajit Chatterjee
    VERILAT: verification using logic augmentation and transformations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:88-95 [Conf]
  16. Youngsoo Shin, Kiyoung Choi
    Software synthesis through task decomposition by dependency analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:98-104 [Conf]
  17. Wei Zhao, Christos A. Papachristou
    Synthesis of reusable DSP cores based on multiple behaviors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:103-108 [Conf]
  18. Rainer Leupers, Peter Marwedel
    Algorithms for address assignment in DSP code generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:109-112 [Conf]
  19. Hakan Yalcin, John P. Hayes, Karem A. Sakallah
    An approximate timing analysis method for datapath circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:114-118 [Conf]
  20. Vinod Narayanan, Barbara A. Chappell, Bruce M. Fleischer
    Static timing analysis for self resetting circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:119-126 [Conf]
  21. David Van Campenhout, Trevor N. Mudge, Karem A. Sakallah
    Timing verification of sequential domino circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:127-132 [Conf]
  22. Gunther Lehmann, Bernhard Wunder, Klaus D. Müller-Glaser
    Basic concepts for an HDL reverse engineering tool-set. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:134-141 [Conf]
  23. Eric W. Johnson, Jay B. Brockman
    Sensitivity analysis of iterative design processes. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:142-145 [Conf]
  24. Richard C. Ho, Mark Horowitz
    Validation coverage analysis for complex digital designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:146-151 [Conf]
  25. Hsiao-Ping Juan, Daniel Gajski, Viraphol Chaiyakul
    Clock-driven performance optimization in interactive behavioral synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:154-157 [Conf]
  26. Anand Raghunathan, Sujit Dey, Niraj K. Jha
    Register-transfer level estimation techniques for switching activity and power consumption. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:158-165 [Conf]
  27. Renu Mehra, Jan M. Rabaey
    Exploiting regularity for low-power design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:166-172 [Conf]
  28. Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah
    Optimization of custom MOS circuits by transistor sizing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:174-180 [Conf]
  29. Jason Cong, Lei He
    An efficient approach to simultaneous transistor and interconnect sizing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:181-186 [Conf]
  30. Edoardo Charbon, Paolo Miliozzi, Enrico Malavasi, Alberto L. Sangiovanni-Vincentelli
    Generalized constraint generation in the presence of non-deterministic parasitics. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:187-192 [Conf]
  31. Shantanu Dutt, Wenyong Deng
    VLSI circuit partitioning by cluster-removal using iterative improvement techniques. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:194-200 [Conf]
  32. Jason Y. Zien, Martine D. F. Schlag, Pak K. Chan
    Multi-level spectral hypergraph partitioning with arbitrary vertex sizes. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:201-204 [Conf]
  33. Wai-Kei Mak, D. F. Wong
    Minimum replication min-cut partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:205-210 [Conf]
  34. Alok Agrawal, Alexander Saldanha, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli
    Compact and complete test set generation for multiple stuck-faults. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:212-219 [Conf]
  35. João P. Marques Silva, Karem A. Sakallah
    GRASP - a new search algorithm for satisfiability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:220-227 [Conf]
  36. Hisashi Kondo, Kwang-Ting Cheng
    Driving toward higher IDDQ test quality for sequential circuits: a generalized fault model and its ATPG. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:228-232 [Conf]
  37. Edward A. Lee, Alberto L. Sangiovanni-Vincentelli
    Comparing models of computation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:234-241 [Conf]
  38. N. P. van der Meijs, T. Smedes
    Accurate interconnect modeling: towards multi-million transistor chips as microwave circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:244-251 [Conf]
  39. Shigeru Yamashita, Hiroshi Sawada, Akira Nagoya
    A new method to express functional permissibilities for LUT based FPGAs and its applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:254-261 [Conf]
  40. Shih-Chieh Chang, Lukas P. P. P. van Ginneken, Malgorzata Marek-Sadowska
    Fast Boolean optimization by rewiring. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:262-269 [Conf]
  41. Qi Wang, Sarma B. K. Vrudhula
    Multi-level logic optimization for low power using local logic transformations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:270-277 [Conf]
  42. Roland W. Freund, Peter Feldmann
    Reduced-order modeling of large passive linear circuits by means of the SYPVL algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:280-287 [Conf]
  43. Luis Miguel Silveira, Mattan Kamon, Ibrahim M. Elfadel, Jacob White
    A coordinate-transformed Arnoldi algorithm for generating guaranteed stable reduced-order models of RLC circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:288-294 [Conf]
  44. Peter Feldmann, Jaijeet S. Roychowdhury
    Computation of circuit waveform envelopes using an efficient, matrix-decomposed harmonic balance algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:295-300 [Conf]
  45. Tianxiong Xue, Ernest S. Kuh, Dongsheng Wang
    Post global routing crosstalk risk estimation and reduction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:302-309 [Conf]
  46. Hai Zhou, D. F. Wong
    An optimal algorithm for river routing with crosstalk constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:310-315 [Conf]
  47. Joe G. Xi, Wayne Wei-Ming Dai
    Jitter-tolerant clock routing in two-phase synchronous systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:316-320 [Conf]
  48. Frank F. Hsu, Elizabeth M. Rudnick, Janak H. Patel
    Enhancing high-level control-flow for improved testability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:322-328 [Conf]
  49. Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha
    A design for testability technique for RTL circuits using control/data flow extraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:329-336 [Conf]
  50. Hans-Joachim Wunderlich, Gundolf Kiefer
    Bit-flipping BIST. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:337-343 [Conf]
  51. Pranav Ashar, Aarti Gupta, Sharad Malik
    Using complete-1-distinguishability for FSM equivalence checking. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:346-353 [Conf]
  52. Gianpiero Cabodi, Paolo Camurati, Stefano Quer
    Improved reachability analysis of large finite state machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:354-360 [Conf]
  53. Yirng-An Chen, Randal E. Bryant
    ACV: an arithmetic circuit verifier. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:361-365 [Conf]
  54. Hans T. Heineken, Wojciech Maly
    Interconnect yield model for manufacturability prediction in synthesis of standard cell based designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:368-373 [Conf]
  55. Eric Felt, Stefano Zanella, Carlo Guardiani, Alberto L. Sangiovanni-Vincentelli
    Hierarchical statistical characterization of mixed-signal circuits using behavioral modeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:374-380 [Conf]
  56. Wei Hong II, Weikai Sun, Zhenhai Zhu, Hao Ji, Ben Song, Wayne Wei-Ming Dai
    A novel dimension reduction technique for the capacitance extraction of 3D VLSI interconnects. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:381-386 [Conf]
  57. Daniel Brand, Chandramouli Visweswariah
    Inaccuracies in power estimation during logic synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:388-394 [Conf]
  58. Ashok Vittal, Hein Ha, Forrest Brewer, Malgorzata Marek-Sadowska
    Clock skew optimization for ground bounce control. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:395-399 [Conf]
  59. Jiing-Yuan Lin, Wen-Zen Shen, Jing-Yang Jou
    A power modeling and characterization method for the CMOS standard cell library. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:400-404 [Conf]
  60. Kyosun Kim, Ramesh Karri, Miodrag Potkonjak
    Heterogeneous built-in resiliency of application specific programmable processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:406-411 [Conf]
  61. William J. Schilp, Peter M. Maurer
    Unit delay simulation with the inversion algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:412-417 [Conf]
  62. Srinivas Devadas, Abhijit Ghosh, Kurt Keutzer
    An observability-based code coverage metric for functional simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:418-425 [Conf]
  63. Ellen Sentovich, Horia Toma, Gérard Berry
    Latch optimization in circuits generated from high-level descriptions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:428-435 [Conf]
  64. Samit Chaudhuri, Michael Quayle
    Synthesis using sequential functional modules (SFMs). [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:436-441 [Conf]
  65. Ki-Seok Chung, Rajesh K. Gupta, C. L. Liu
    An algorithm for synthesis of system-level interface circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:442-447 [Conf]
  66. Chad Young, Giorgio Casinovi, Jonathan Fowler, Paul Kerstetter
    An algorithm for power estimation in switched-capacitor circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:450-454 [Conf]
  67. Edoardo Charbon, Ranjit Gharpurey, Alberto L. Sangiovanni-Vincentelli, Robert G. Meyer
    Semi-analytical techniques for substrate characterization in the design of mixed-signal ICs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:455-462 [Conf]
  68. Iasson Vassiliou, Henry Chang, Alper Demir, Edoardo Charbon, Paolo Miliozzi, Alberto L. Sangiovanni-Vincentelli
    A video driver system designed using a top-down, constraint-driven methodology. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:463-468 [Conf]
  69. Dirk Behrens, Klaus Harbich, Erich Barke
    Hierarchical partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:470-477 [Conf]
  70. Takayuki Yamanouchi, Kazuo Tamakashi, Takashi Kambe
    Hybrid floorplanning based on partial clustering and module restructuring. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:478-483 [Conf]
  71. Shigetoshi Nakatake, Kunihiro Fujiyoshi, Hiroshi Murata, Yoji Kajitani
    Module placement on BSG-structure and IC layout applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:484-491 [Conf]
  72. Mukund Sivaraman, Andrzej J. Strojwas
    Delay fault coverage: a realistic metric and an estimation technique for distributed path delay faults. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:494-501 [Conf]
  73. Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal
    SIGMA: a simulator for segment delay faults. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:502-508 [Conf]
  74. Minesh B. Amin, Bapiraju Vinnakota
    Zamlog: a parallel algorithm for fault simulation based on Zambezi. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:509-512 [Conf]
  75. Gordon W. Roberts
    Metrics, techniques and recent developments in mixed-signal testing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:514-521 [Conf]
  76. Kenneth L. Shepard, Vinod Narayanan
    Noise in deep submicron digital design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:524-531 [Conf]
  77. David C. Ku, James A. Rowson
    Intranets and EDA: impact, application, and technology. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:534- [Conf]
  78. Desmond Kirkpatrick, Alberto L. Sangiovanni-Vincentelli
    Digital sensitivity: predicting signal interaction using functional analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:536-541 [Conf]
  79. Scott Woods, Giorgio Casinovi
    Efficient solution of systems of Boolean equations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:542-546 [Conf]
  80. Amit Narayan, Jawahar Jain, Masahiro Fujita, Alberto L. Sangiovanni-Vincentelli
    Partitioned ROBDDs - a compact, canonical and efficiently manipulable representation for Boolean functions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:547-554 [Conf]
  81. Jun-Fa Mao, Janet Meiling Wang, Ernest S. Kuh
    Simulation and sensitivity analysis of transmission line circuits by the characteristics method. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:556-562 [Conf]
  82. Mustafa Celik, Andreas C. Cangellaris
    A general dispersive multiconductor transmission line model for interconnect simulation in SPICE. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:563-568 [Conf]
  83. Sharad Kapur, David E. Long, Jaijeet S. Roychowdhury
    Efficient time-domain simulation of frequency-dependent elements. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:569-573 [Conf]
  84. Chih-Shun Ding, Cheng-Ta Hsieh, Qing Wu, Massoud Pedram
    Stratified random sampling for power estimation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:576-582 [Conf]
  85. Cheng-Ta Hsieh, Qing Wu, Chih-Shun Ding, Massoud Pedram
    Statistical sampling and regression analysis for RT-level power evaluation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:583-588 [Conf]
  86. Dennis J. Ciplickas, Ronald A. Rohrer
    Expected current distributions for CMOS circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:589-592 [Conf]
  87. Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou, Yuan-Tzu Ting
    Metrology for analog module testing using analog testability bus. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:594-599 [Conf]
  88. Marcelo Lubaszewski, Salvador Mir, Leandro Pulz
    ABILBO: Analog BuILt-in Block Observer. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:600-603 [Conf]
  89. Walter M. Lindermeir
    Design of robust test criteria in analog testing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:604-611 [Conf]
  90. Balakrishnan Iyer, Maciej J. Ciesielski
    Metamorphosis: state assignment by retiming and re-encoding. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:614-617 [Conf]
  91. Vigyan Singhal, Sharad Malik, Robert K. Brayton
    The case for retiming with explicit reset circuitry. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:618-625 [Conf]
  92. Harm Arts, Michel R. C. M. Berkelaar, C. A. J. van Eijk
    Polarized observability don't cares. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:626-631 [Conf]
  93. Inki Hong, Miodrag Potkonjak
    Power optimization in disk-based real-time application specific systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:634-637 [Conf]
  94. Wen-Jong Fang, Allen C.-H. Wu
    A hierarchical functional structuring and partitioning approach for multiple-FPGA implementations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:638-643 [Conf]
  95. Shin-ichi Minato
    Generation of BDDs from hardware algorithm descriptions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:644-649 [Conf]
  96. Vaughn Betz, Jonathan Rose
    Directional bias and non-uniformity in FPGA global routing architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:652-659 [Conf]
  97. Avaneendra Gupta, John P. Hayes
    Width minimization of two-dimensional CMOS cells using integer programming. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:660-667 [Conf]
  98. Man-Fai Yu, Joel Darnauer, Wayne Wei-Ming Dai
    Interchangeable pin routing with application to package layout. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:668-673 [Conf]
  99. Xiao-Tao Chen, Fabrizio Lombardi
    A coloring approach to the structural diagnosis of interconnects. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:676-680 [Conf]
  100. Vamsi Boppana, W. Kent Fuchs
    Integrated fault diagnosis targeting reduced simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:681-684 [Conf]
  101. Kanad Chakraborty, Pinaki Mazumder
    An efficient, bus-layout based method for early diagnosis of bussed driver shorts in printed circuit boards. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:685-688 [Conf]
  102. Wojciech Maly, Hans T. Heineken, Jitendra Khare, Pranab K. Nag
    Design for manufacturability in submicron domain. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:690-697 [Conf]
  103. Ralph H. J. M. Otten, Lukas P. P. P. van Ginneken, Narendra V. Shenoy
    Embedded tutorial: Speed - new paradigms in design for performance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1996, pp:700- [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002