The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
2003 (conf/iccad/2003)

  1. Lin Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin
    Adapative Error Protection for Energy Efficiency. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:2-7 [Conf]
  2. Ruibing Lu, Cheng-Kok Koh
    SAMBA-Bus: A High Performance Bus Architecture for System-on-Chips. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:8-12 [Conf]
  3. Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang, Bo Yao
    The Y-Architecture for On-Chip Interconnect: Analysis and Methodology. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:13-20 [Conf]
  4. Vishnu Swaminathan, Krishnendu Chakrabarty
    Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:21-25 [Conf]
  5. Shaoxiong Hua, Gang Qu
    Approaching the Maximum Energy Saving on Embedded Systems with Multiple Voltages. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:26-29 [Conf]
  6. Le Yan, Jiong Luo, Niraj K. Jha
    Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Heterogeneous Distributed Real-time Embedded Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:30-38 [Conf]
  7. Qi Wang, Sumit Roy
    RTL Power Optimization with Gate-Level Accuracy. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:39-45 [Conf]
  8. Chao Huang, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha
    Synthesis of Heterogeneous Distributed Architectures for Memory-Intensive Applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:46-53 [Conf]
  9. Ankur Srivastava, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh
    Achieving Design Closure Through Delay Relaxation Parameter. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:54-57 [Conf]
  10. Brian Swahn, Soha Hassoun
    Hardware Scheduling for Dynamic Adaptability using External Profiling and Hardware Threading. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:58-65 [Conf]
  11. Hua Xiang, Xiaoping Tang, Martin D. F. Wong
    Bus-Driven Floorplanning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:66-73 [Conf]
  12. Peter G. Sassone, Sung Kyu Lim
    A Novel Geometric Algorithm for Fast Wire-Optimized Floorplanning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:74-80 [Conf]
  13. Cristinel Ababei, Kia Bazargan
    Placement Method Targeting Predictability Robustness and Performance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:81-85 [Conf]
  14. Brent Goplen, Sachin S. Sapatnekar
    Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:86-90 [Conf]
  15. Ozgur Sinanoglu, Alex Orailoglu
    Partial Core Encryption for Performance-Efficient Test of SOCs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:91-94 [Conf]
  16. Anuja Sehgal, Sule Ozev, Krishnendu Chakrabarty
    TAM Optimization for Mixed-Signal SOCs using Analog Test Wrappers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:95-99 [Conf]
  17. Yu Xia, Malgorzata Chrzanowska-Jeske, Benyi Wang, Marcin Jeske
    Using a Distributed Rectangle Bin-Packing Approach for Core-based SoC Test Scheduling with Power Constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:100-106 [Conf]
  18. Alberto García Ortiz, Lukusa D. Kabulepa, Tudor Murgan, Manfred Glesner
    Moment-Based Power Estimation in Very Deep Submicron Technologies. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:107-112 [Conf]
  19. Mahesh Mamidipaka, Kamal S. Khouri, Nikil D. Dutt, Magdy S. Abadir
    IDAP: A Tool for High Level Power Estimation of Custom Array Structures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:113-119 [Conf]
  20. Kerry Bernstein, Ching-Te Chuang, Rajiv V. Joshi, Ruchir Puri
    Design and CAD Challenges in sub-90nm CMOS Technologies. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:129-137 [Conf]
  21. In-Cheol Park, Sehyeon Kang, Yongseok Yi
    Fast Cycle-accurate Behavioral Simulation for Pipelined Processors Using Early Pipeline Evaluation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:138-141 [Conf]
  22. Jun Yuan, Carl Pixley, Adnan Aziz, Ken Albin
    A Framework for Constrained Functional Verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:142-145 [Conf]
  23. Yunshan Zhu, James H. Kukula
    Generator-based Verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:146-153 [Conf]
  24. Alan J. Hu, Jeremy Casas, Jin Yang
    Efficient Generation of Monitor Circuits for GSTE Assertion Graphs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:154-160 [Conf]
  25. Chirayu S. Amin, Florentin Dartu, Yehea I. Ismail
    Weibull Based Analytical Waveform Model. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:161-168 [Conf]
  26. Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera
    Equivalent Waveform Propagation for Static Timing Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:169-175 [Conf]
  27. Rubil Ahmadi, Farid N. Najm
    Timing Analysis in Presence of Power Supply and Ground Voltage Variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:176-183 [Conf]
  28. Sanjay Pant, David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda
    Vectorless Analysis of Supply Noise Induced Delay Variation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:184-192 [Conf]
  29. Guilin Chen, Mahmut T. Kandemir, A. Nadgir, Ugur Sezer
    Array Composition and Decomposition for Optimizing Embedded Applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:193-196 [Conf]
  30. Junhyung Um, Taewhan Kim
    Code Placement with Selective Cache Activity Minimization for Embedded Real-time Software Design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:197-200 [Conf]
  31. Jinfeng Liu, Pai H. Chou
    Energy Optimization of Distributed Embedded Processors by Combined Data Compression and Functional Partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:201-208 [Conf]
  32. Ying Zhang, Krishnendu Chakrabarty, Vishnu Swaminathan
    Energy-Aware Fault Tolerance in Fixed-Priority Real-Time Embedded Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:209-214 [Conf]
  33. Chuan Lin, Hai Zhou
    Retiming for Wire Pipelining in System-On-Chip. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:215-220 [Conf]
  34. Chris C. N. Chu, Evangeline F. Y. Young, Dennis K. Y. Tong, Sampath Dechu
    Retiming with Interconnect and Gate Delay. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:221-226 [Conf]
  35. Ruibing Lu, Cheng-Kok Koh
    Performance Optimization of Latency Insensitive Systems Through Buffer Queue Sizing of Communication Channels. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:227-231 [Conf]
  36. Stephan Held, Bernhard Korte, Jens Maßberg, Matthias Ringe, Jens Vygen
    Clock Scheduling and Clocktree Construction for High Performance ASICS. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:232-240 [Conf]
  37. Guido Stehr, Michael Pronath, Frank Schenkel, Helmut E. Graeb, Kurt Antreich
    Initial Sizing of Analog Integrated Circuits by Centering Within Topology-Given Implicit Specification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:241-246 [Conf]
  38. Piet Vanassche, Georges G. E. Gielen, Willy M. C. Sansen
    A Generalized Method for Computing Oscillator Phase Noise Spectra. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:247-250 [Conf]
  39. Fabrice Veersé
    Efficient Iterative Time Preconditioners for Harmonic Balance RF Circuit Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:251-255 [Conf]
  40. Dmitri Maslov, Gerhard W. Dueck, D. Michael Miller
    Fredkin/Toffoli Templates for Reversible Logic Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:256-261 [Conf]
  41. Andrew B. Kahng, Ion I. Mandoiu, Sherief Reda, Xu Xu, Alexander Zelikovsky
    Evaluation of Placement Techniques for DNA Probe Array Layout. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:262-269 [Conf]
  42. S. K. De, Narayan R. Aluru
    Physical And Reduced-Order Dynamic Analysis of MEMS. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:270-274 [Conf]
  43. Claire Fang Fang, Rob A. Rutenbar, Tsuhan Chen
    Fast, Accurate Static Analysis for Fixed-Point Finite-Precision Effects in DSP Designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:275-282 [Conf]
  44. Fei Sun, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha
    A Scalable Application-Specific Processor Synthesis Methodology. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:283-290 [Conf]
  45. Newton Cheung, Sri Parameswaran, Jörg Henkel
    INSIDE: INstruction Selection/Identification & Design Exploration for Extensible Processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:291-298 [Conf]
  46. Tony F. Chan, Jason Cong, Tim Kong, Joseph R. Shinnerl, Kenton Sze
    An Enhanced Multilevel Algorithm for Circuit Placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:299-306 [Conf]
  47. Ameya R. Agnihotri, Mehmet Can Yildiz, Ateen Khatkhate, Ajita Mathur, Satoshi Ono, Patrick H. Madden
    Fractional Cut: Improved Recursive Bisection Placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:307-310 [Conf]
  48. Saurabh N. Adya, Igor L. Markov, Paul Villarrubia
    On Whitespace and Stability in Mixed-Size Placement and Physical Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:311-319 [Conf]
  49. Madhu K. Iyer, Ganapathy Parthasarathy, Kwang-Ting Cheng
    SATORI - A Fast Sequential SAT Engine for Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:320-325 [Conf]
  50. Cong Liu, Andreas Kuehlmann, Matthew W. Moskewicz
    CAMA: A Multi-Valued Satisfiability Solver. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:326-333 [Conf]
  51. Chao Wang, Gary D. Hachtel, Fabio Somenzi
    The Compositional Far Side of Image Computation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:334-341 [Conf]
  52. Arijit Ghosh, Tony Givargis
    Cache Optimization For Embedded Processor Cores: An Analytical Approach. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:342-347 [Conf]
  53. Diana Marculescu, Nicholas H. Zamora, Phillip Stanley-Marbell, Radu Marculescu
    Fault-Tolerant Techniques for Ambient Intelligent Distributed Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:348-355 [Conf]
  54. Rami Beidas, Jianwen Zhu
    Performance Efficiency of Context-Flow System-on-Chip Platform. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:356-362 [Conf]
  55. Won Namgoong, Jongrit Lerdworatawee
    Amplification of Ultrawideband Signals. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:363-366 [Conf]
  56. Mohammad Taherzadeh-Sani, Reza Lotfi, Omid Shoaei
    A Statistical Approach to Estimate the Dynamic Non-Linearity Parameters of Pipeline ADCs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:367-370 [Conf]
  57. Reza Lotfi, Mohammad Taherzadeh-Sani, M. Yaser Azizi, Omid Shoaei
    Systematic Design for Power Minimization of Pipelined Analog-to-Digital Converters. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:371-374 [Conf]
  58. Dean Liu, Stefanos Sidiropoulos, Mark Horowitz
    A Framework for Designing Reusable Analog Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:375-381 [Conf]
  59. Tsung-Yi Ho, Yao-Wen Chang, Sao-Jie Chen, D. T. Lee
    A Fast Crosstalk- and Performance-Driven Multilevel Routing System. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:382-387 [Conf]
  60. Seokjin Lee, Yongseok Cheon, Martin D. F. Wong
    A Min-Cost Flow Based Detailed Router for FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:388-393 [Conf]
  61. Muhammet Mustafa Ozdal, Martin D. F. Wong
    Length-Matching Routing for High-Speed Printed Circuit Boards. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:394-400 [Conf]
  62. Anand Rajaram, Bing Lu, Wei Guo, Rabi N. Mahapatra, Jiang Hu
    Analytical Bound for Unwanted Clock Skew due to Wire Width Variation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:401-407 [Conf]
  63. Chao Wang, Bing Li, HoonSang Jin, Gary D. Hachtel, Fabio Somenzi
    Improving Ariadneýs Bundle by Following Multiple Threads in Abstraction Refinement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:408-415 [Conf]
  64. Aarti Gupta, Malay K. Ganai, Zijiang Yang, Pranav Ashar
    Iterative Abstraction using SAT-based BMC with Proof Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:416-423 [Conf]
  65. Curtis A. Nelson, Chris J. Myers, Tomohiro Yoneda
    Efficient Verification of Hazard-Freedom in Gate-Level Timed Asynchronous Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:424-432 [Conf]
  66. Gérard Berry, Michael Kishinevsky, Satnam Singh
    System Level Design and Verification Using a Synchronous Language. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:433-440 [Conf]
  67. Alper Demir
    Noise Analysis for Optical Fiber Communication Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:441-445 [Conf]
  68. Joel R. Phillips, João Afonso, Arlindo L. Oliveira, Luis Miguel Silveira
    Analog Macromodeling using Kernel Methods. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:446-453 [Conf]
  69. Peng Li, Xin Li, Yang Xu, Lawrence T. Pileggi
    A Hybrid Approach to Nonlinear Macromodel Generation for Time-Varying Analog Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:454-462 [Conf]
  70. Wonjoon Choi, Kia Bazargan
    Incremental Placement for Timing Optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:463-466 [Conf]
  71. Huaiyu Xu, Maogang Wang, Bo-Kyung Choi, Majid Sarrafzadeh
    A Trade-off Oriented Placement Tool. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:467-471 [Conf]
  72. Jason Cong, Michail Romesis, Min Xie
    Optimality and Stability Study of Timing-Driven Placement Algorithms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:472-479 [Conf]
  73. R. Iris Bahar, Joseph L. Mundy, Jie Chen
    A Probabilistic-Based Design Methodology for Nanoscale Computation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:480-486 [Conf]
  74. Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy
    Modeling of Ballistic Carbon Nanotube Field Effect Transistors for Efficient Circuit Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:487-490 [Conf]
  75. Jiayong Le, Lawrence T. Pileggi, Anirudh Devgan
    Circuit Simulation of Nanotechnology Devices with Non-monotonic I-V Characteristics. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:491-496 [Conf]
  76. Santanu Mahapatra, Kaustav Banerjee, Florent Pegeon, Adrian M. Ionescu
    A CAD Framework for Co-Design and Analysis of CMOS-SET Hybrid Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:497-503 [Conf]
  77. Ali Iranli, Hanif Fatemi, Massoud Pedram
    A Game Theoretic Approach to Dynamic Energy Minimization in Wireless Transceivers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:504-509 [Conf]
  78. Girish Varatkar, Radu Marculescu
    Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:510-517 [Conf]
  79. Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel
    LRU-SEQ: A Novel Replacement Policy for Transition Energy Reduction in Instruction Caches. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:518-522 [Conf]
  80. Peter Petrov, Alex Orailoglu
    Compiler-Based Register Name Adjustment for Low-Power Embedded Processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:523-528 [Conf]
  81. Zhiru Zhang, Yiping Fan, Miodrag Potkonjak, Jason Cong
    Gradual Relaxation Techniques with Applications to Behavioral Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:529-535 [Conf]
  82. Jason Cong, Yiping Fan, Guoling Han, Xun Yang, Zhiru Zhang
    Architectural Synthesis Integrated with Global Placement for Multi-Cycle Communication. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:536-543 [Conf]
  83. Ansgar Stammermann, Domenik Helms, Milan Schulte, Arne Schulz, Wolfgang Nebel
    Binding, Allocation and Floorplanning in Low Power High-Level Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:544-550 [Conf]
  84. Pallav Gupta, Lin Zhong, Niraj K. Jha
    A High-level Interconnect Power Model for Design Space Exploration. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:551-559 [Conf]
  85. Vishal Khandelwal, Azadeh Davoodi, Akash Nanavati, Ankur Srivastava
    A Probabilistic Approach to Buffer Insertion. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:560-567 [Conf]
  86. Giuseppe S. Garcea, N. P. van der Meijs, Ralph H. J. M. Otten
    Simultaneous Analytic Area and Power Optimization for Repeater Insertion. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:568-573 [Conf]
  87. Weiping Liao, Lei He
    Full-Chip Interconnect Power Estimation and Simulation Considering Concurrent Repeater and Flip-Flop Insertion. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:574-580 [Conf]
  88. Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng
    Power-Optimal Simultaneous Buffer Insertion/Sizing and Wire Sizing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:581-587 [Conf]
  89. Michael Nicolaidis, Nadir Achouri, Slimane Boutobza
    Dynamic Data-bit Memory Built-In Self- Repair. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:588-594 [Conf]
  90. Kuo-Liang Cheng, Chih-Wea Wang, Jih-Nung Lee, Yung-Fa Chou, Chih-Tsun Huang, Cheng-Wen Wu
    FAME: A Fault-Pattern Based Memory Failure Analysis Framework. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:595-598 [Conf]
  91. Bai Hong Fang, Qiang Xu, Nicola Nicolici
    Hardware/Software Co-testing of Embedded Memories in Complex SOCs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:599-606 [Conf]
  92. Anirudh Devgan, Chandramouli V. Kashyap
    Block-based Static Timing Analysis with Uncertainty. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:607-614 [Conf]
  93. Sarvesh Bhardwaj, Sarma B. K. Vrudhula, David Blaauw
    AU: Timing Analysis Under Uncertainty. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:615-620 [Conf]
  94. Hongliang Chang, Sachin S. Sapatnekar
    Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:621-626 [Conf]
  95. Nam Sung Kim, David Blaauw, Trevor N. Mudge
    Leakage Power Optimization Techniques for Ultra Deep Sub-Micron Multi-Level Caches. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:627-632 [Conf]
  96. Phillip Stanley-Marbell, Diana Marculescu
    Dynamic Fault-Tolerance and Metrics for Battery Powered, Failure-Prone Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:633-640 [Conf]
  97. Krishna Sekar, Kanishka Lahiri, Sujit Dey
    Dynamic Platform Management for Configurable Platform-Based System-on-Chips. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:641-649 [Conf]
  98. Sheldon X.-D. Tan
    A General S-Domain Hierarchical Network Reduction Algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:650-657 [Conf]
  99. Bernard N. Sheehan
    Branch Merge Reduction of RLCM Networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:658-664 [Conf]
  100. Yannick L. Le Coz, Dhivya Krishna, Dusan M. Petranovic, William M. Loh, Peter Bendix
    A Sum-over-Paths Impulse-Response Moment-Extraction Algorithm for IC-Interconnect Networks: Verification, Coupled RC Lines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:665-671 [Conf]
  101. Bozena Kaminska, Karim Arabi
    Mixed Signal DFT: A Concise Overview. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:672-680 [Conf]
  102. Puneet Gupta, Andrew B. Kahng
    Manufacturing-Aware Physical Design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:681-688 [Conf]
  103. Rahul M. Rao, Frank Liu, Jeffrey L. Burns, Richard B. Brown
    A Heuristic to Determine Low Leakage Sleep State Vectors for CMOS Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:689-692 [Conf]
  104. Yuvraj Singh Dhillon, Abdulkadir Utku Diril, Abhijit Chatterjee, Hsien-Hsin Sean Lee
    Algorithm for Achieving Minimum Energy Consumption in CMOS Circuits Using Multiple Supply and Threshold Voltages at the Module Level. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:693-700 [Conf]
  105. Julien Lamoureux, Steven J. E. Wilton
    On the Interaction Between Power-Aware FPGA CAD Algorithms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:701-708 [Conf]
  106. Alan Mishchenko, Robert K. Brayton
    A Theory of Non-Deterministic Networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:709-717 [Conf]
  107. Yongseok Cheon, Seokjin Lee, Martin D. F. Wong
    Stable Multiway Circuit Partitioning for ECO. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:718-725 [Conf]
  108. Navaratnasothie Selvakkumaran, George Karypis
    Multi.Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:726-733 [Conf]
  109. Jianhua Liu, Shuo Zhou, Haikun Zhu, Chung-Kuan Cheng
    An Algorithmic Approach for Generic Parallel Adders. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:734-740 [Conf]
  110. Lei Yang, C.-J. Richard Shi
    FROSTY: A Fast Hierarchy Extractor for Industrial CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:741-747 [Conf]
  111. Abhishek Singh, Jitin Tharian, Jim Plusquellic
    Path Delay Estimation using Power Supply Transient Signals: A Comparative Study using Fourier and Wavelet Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:748-753 [Conf]
  112. Puneet Gupta, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma
    Layout-Aware Scan Chain Synthesis for Improved Path Delay Fault Coverage. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:754-759 [Conf]
  113. Aman Kokrady, C. P. Ravikumar
    Static Verification of Test Vectors for IR Drop Failure. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:760-764 [Conf]
  114. Rahul Kundu, R. D. (Shawn) Blanton
    ATPG for Noise-Induced Switch Failures in Domino Logic. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:765-769 [Conf]
  115. Imad A. Ferzli, Farid N. Najm
    Statistical Verification of Power Grids Considering Process-Induced Leakage Current Variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:770-777 [Conf]
  116. Alessandra Nardi, Haibo Zeng, Joshua L. Garrett, Luca Daniel, Alberto L. Sangiovanni-Vincentelli
    A Methodology for the Computation of an Upper Bound on Nose Current Spectrum of CMOS Switching Activity. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:778-785 [Conf]
  117. Tsung-Hao Chen, Clement Luk, Charlie Chung-Ping Chen
    SuPREME: Substrate and Power-delivery Reluctance-Enhanced Macromodel Evaluation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:786-792 [Conf]
  118. Zhao Li, C.-J. Richard Shi
    SILCA: Fast-Yet-Accurate Time-Domain Simulation of VLSI Circuits with Strong Parasitic Coupling Effects. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:793-800 [Conf]
  119. Kaushik Ravindran, Andreas Kuehlmann, Ellen Sentovich
    Multi-Domain Clock Skew Scheduling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:801-808 [Conf]
  120. Shih-Hsu Huang, Yow-Tyng Nieh
    Clock Period Minimization of Non-Zero Clock Skew Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:809-812 [Conf]
  121. Chao-Yang Yeh, Malgorzata Marek-Sadowska
    Minimum-Area Sequential Budgeting for FPGA. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:813-817 [Conf]
  122. Josep Carmona, Jordi Cortadella
    ILP Models for the Synthesis of Asynchronous Control Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:818-826 [Conf]
  123. Traianos Yioultsis, Anne Woo, Andreas C. Cangellaris
    Passive Synthesis of Compact Frequency-Dependent Interconnect Models via Quadrature Spectral Rules. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:827-834 [Conf]
  124. Dinesh Pamunuwa, Shauki Elassaad, Hannu Tenhunen
    Analytic Modeling of Interconnects for Deep Sub-Micron Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:835-842 [Conf]
  125. Ben Song, Zhenhai Zhu, John D. Rockway, Jacob White
    A New Surface Integral Formulation For Wideband Impedance Extraction of 3-D Structures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:843-847 [Conf]
  126. Yu Cao, Xiao-Dong Yang, Xuejue Huang, Dennis Sylvester
    Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:848-854 [Conf]
  127. Chen Wang, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski, Jerzy Tyszer
    On Compacting Test Response Data Containing Unknown Values. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:855-862 [Conf]
  128. C. V. Krishna, Nur A. Touba
    Adjustable Width Linear Combinational Scan Vector Decompression. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:863-866 [Conf]
  129. Irith Pomeranz, Sudhakar M. Reddy
    On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:867-873 [Conf]
  130. Rajesh K. Gupta, Sandy Irani, Sandeep K. Shukla
    Formal Methods for Dynamic Power Management. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:874-882 [Conf]
  131. Jason Cong, Tim Kong, Joseph R. Shinnerl, Min Xie, Xin Yuan
    Large-Scale Circuit Placement: Gap and Promise. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:883-890 [Conf]
  132. Maogang Wang, Abhishek Ranjan, Salil Raje
    Multi-Million Gate FPGA Physical Design Challenges. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:891-899 [Conf]
  133. Aseem Agarwal, David Blaauw, Vladimir Zolotov
    Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:900-907 [Conf]
  134. Ken-ichi Okada, Kento Yamaoka, Hidetoshi Onodera
    A Statistical Gate-Delay Model Considering Intra-Gate Variability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:908-913 [Conf]
  135. Aseem Agarwal, David Blaauw, Vladimir Zolotov
    Statistical Clock Skew Analysis Considering Intra-Die Process Variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:914-921 [Conf]
  136. D. Nadezhin, Sergey Gavrilov, Alexey Glebov, Y. Egorov, Vladimir Zolotov, David Blaauw, Rajendran Panda, Murat R. Becer, A. Ardelea, A. Patel
    SOI Transistor Model for Fast Transient Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:120128- [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002