The SCEAS System
Navigation Menu

Conferences in DBLP

Asia and South Pacific Design Automation Conference (ASP-DAC) (aspdac)
2005 (conf/aspdac/2005)

  1. C. K. Cheng, Steve Lin, Andrew B. Kahng, Keh-Jeng Chang, Vijay Pitchumani, Toshiyuki Shibuya, Roberto Suaya, Zhiping Yu, Fook-Luen Heng, Don MacMillen
    Panel I: who is responsible for the design for manufacturability issues in the era of nano-technologies? [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:- [Conf]
  2. Rajeev Madhavan
    Silicon compilation: the answer to reducing IC development costs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:- [Conf]
  3. Jan M. Rabaey
    Design at the end of the silicon roadmap. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:- [Conf]
  4. David Blaauw, Anirudh Devgan, Farid N. Najm
    Leakage power: trends, analysis and avoidance. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:- [Conf]
  5. Jason Cong, Tony Ma, Ivo Bolsens, Phil Moorby, Jan M. Rabaey, John Sanguinetti, Kazutoshi Wakabayashi, Yoshi Watanabe
    Are we ready for system-level synthesis? [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:- [Conf]
  6. David Chen, Nancy Wu, Wayne Dai, Jun Tan, Weiping Liu, Hao Min, Jian-yue Pan
    Panel III: EDA market in China. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:- [Conf]
  7. Vijay Pitchumani
    Embedded tutorial I: design for manufacturability. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:- [Conf]
  8. Zhenghua Jiang
    The development of integrated circuit industry in China. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:- [Conf]
  9. Bill Grundmann
    Challenges to covering the high-level to silicon gap. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1- [Conf]
  10. Yin Wang, Xianlong Hong, Tong Jing, Yang Yang, Xiaodong Hu, Guiying Yan
    The polygonal contraction heuristic for rectilinear Steiner tree construction. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1-6 [Conf]
  11. Hongxia Wang, Samuel Rodríguez, Cagdas Dirik, Amol Gole, Vincent Chan, Bruce Jacob
    TERPS: the embedded reliable processing system. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1-2 [Conf]
  12. Narayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin
    Designing reliable circuit in the presence of soft errors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1- [Conf]
  13. Todd M. Austin, Valeria Bertacco, David Blaauw, Trevor N. Mudge
    Opportunities and challenges for better than worst-case design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:2-7 [Conf]
  14. Dimitrios Soudris, Spiridon Nikolaidis, S. Siskos, Konstantinos Tatas, K. Siozios, G. Koutroumpezis, Nikolaos Vassiliadis, V. Kalenteridis, H. Pournara, I. Pappas, Adonios Thanailakis
    AMDREL: a novel low-energy FPGA architecture and supporting CAD tool design flow. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:3-4 [Conf]
  15. Hongyan Jian, Zhangwen Tang, Jie He, Jinglan He, Min Hao
    Standard CMOS technology on-chip inductors with pn junctions substrate isolation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:5-6 [Conf]
  16. Yu Hu, Tong Jing, Xianlong Hong, Zhe Feng 0002, Xiaodong Hu, Guiying Yan
    An-OARSMan: obstacle-avoiding routing tree construction with good length performance. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:7-12 [Conf]
  17. Hao-Yun Chin, Chao-Chung Cheng, Yu-Kun Lin, Tian-Sheuan Chang
    A bandwidth efficient subsampling-based block matching architecture for motion estimation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:7-8 [Conf]
  18. Ashok Jagannathan, Hannah Honghua Yang, Kris Konigsfeld, Dan Milliron, Mosur Mohan, Michail Romesis, Glenn Reinman, Jason Cong
    Microarchitecture evaluation with floorplanning and interconnect pipelining. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:8-15 [Conf]
  19. Akinori Shinmyo, Masanori Hashimoto, Hidetoshi Onodera
    Design and measurement of 6.4 Gbps 8: 1 multiplexer in 0.18µm CMOS process. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:9-10 [Conf]
  20. Chi Huang, Xinyu Wu, Jinmei Lai, Chengshou Sun, Gang Li
    A design of high speed double precision floating point adder using macro modules. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:11-12 [Conf]
  21. Takashi Morimoto, Osamu Kiriyama, Hidekazu Adachi, Zhaomin Zhu, Tetsushi Koide, Hans Jürgen Mattausch
    A low-power video segmentation LSI with boundary-active-only architecture. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:13-14 [Conf]
  22. Zhuo Li, Cliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi
    Making fast buffer insertion even faster via approximation techniques. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:13-18 [Conf]
  23. Xu Ningyi, Li Shaohua, Yu Wei, He Guanghui, Zhang Hao, Luo Fei, Zhou Zucheng
    The design and implementation of a DVB receiving chip with PCI interface. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:15-16 [Conf]
  24. Dehui Zhang, Quan Liang Zhao, Jun Gang Han
    Design and implementation of an SDH high-speed switch. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:17-18 [Conf]
  25. Zhong-Ching Lu, Ting-Chi Wang
    Concurrent flip-flop and buffer insertion with adaptive blockage avoidance. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:19-22 [Conf]
  26. Arias Tanti Hapsari, Eniman Y. Syamsudin, Imron Pramana
    Design of vehicle position tracking system using short message services and its implementation on FPGA. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:19-20 [Conf]
  27. Fei Wang, Jianyu Zhang, Xuan Wang, Jinmei Lai, Chengshou Sun
    Design of A 2.4-GHz integrated frequency synthesizer. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:21-22 [Conf]
  28. Feng Jianhua, Long Jieyi, Xu Wenhua, Ye Hongfei
    An improved test access mechanism structure and optimization technique in system-on-chip. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:23-24 [Conf]
  29. Tianpei Zhang, Sachin S. Sapatnekar
    Buffering global interconnects in structured ASIC design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:23-26 [Conf]
  30. Srinivasan Murali, Luca Benini, Giovanni De Micheli
    Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:27-32 [Conf]
  31. César A. M. Marcon, André Borin Suarez, Altamiro Amadeu Susin, Luigi Carro, Flávio Rech Wagner
    Time and energy efficient mapping of embedded applications onto NoCs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:33-38 [Conf]
  32. Liang-Yu Lin, Cheng-Yeh Wang, Pao-Jui Huang, Chih-Chieh Chou, Jing-Yang Jou
    Communication-driven task binding for multiprocessor with latency insensitive network-on-chip. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:39-44 [Conf]
  33. Andreas Gerstlauer, Dongwan Shin, Rainer Dömer, Daniel D. Gajski
    System-level communication modeling for network-on-chip synthesis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:45-48 [Conf]
  34. Luciano Ost, Aline Mello, José Palma, Fernando Gehm Moraes, Ney Calazans
    MAIA: a framework for networks on chip generation and verification. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:49-52 [Conf]
  35. Yinhe Han, Yu Hu, Huawei Li, Xiaowei Li
    Theoretic analysis and enhanced X-tolerance of test response compact based on convolutional code. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:53-58 [Conf]
  36. Yasumi Doi, Seiji Kajihara, Xiaoqing Wen, Lei Li, Krishnendu Chakrabarty
    Test compression for scan circuits using scan polarity adjustment and pinpoint test relaxation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:59-64 [Conf]
  37. Jin-Fu Li
    Testing comparison faults of ternary CAMs based on comparison faults of binary CAMs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:65-70 [Conf]
  38. Feng Shi, Yiorgos Makris
    SPIN-PAC: test compaction for speed-independent circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:71-74 [Conf]
  39. Michihiro Shintani, Toshihiro Ohara, Hideyuki Ichihara, Tomoo Inoue
    A Huffman-based coding with efficient test application. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:75-78 [Conf]
  40. Rouying Zhan, Haolu Xie, Haigang Feng, Albert Z. Wang
    ESDZapper: a new layout-level verification tool for finding critical discharging path under ESD stress. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:79-82 [Conf]
  41. Xiaolang Yan, Ye Chen, Zheng Shi, Yue Ma
    A new method for model based frugal OPC. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:83-86 [Conf]
  42. Yong Zhan, Sachin S. Sapatnekar
    Fast computation of the temperature distribution in VLSI chips using the discrete cosine transform and table look-up. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:87-92 [Conf]
  43. Yi Zou, Qiang Zhou, Yici Cai, Xianlong Hong, Sheldon X.-D. Tan
    Analysis of buffered hybrid structured clock networks. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:93-98 [Conf]
  44. Liang Huang, Yici Cai, Qiang Zhou, Xianlong Hong, Jiang Hu, Yongqiang Lu
    Clock network minimization methodology based on incremental placement. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:99-102 [Conf]
  45. Hongyu Chen, Chung-Kuan Cheng
    A multi-level transmission line network approach for multi-giga hertz clock distribution. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:103-106 [Conf]
  46. Zhixin Tian, Huazhong Yang, Rong Luo
    Gibbs sampling in power grid analysis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:107-110 [Conf]
  47. Hao Yu, Lei He, Zhenyu Qi, Sheldon X.-D. Tan
    A wideband hierarchical circuit reduction for massively coupled interconnects. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:111-114 [Conf]
  48. Tong Jing, Ling Zhang, Jinghong Liang, Jingyu Xu, Xianlong Hong, Jinjun Xiong, Lei He
    A Min-area Solution to Performance and RLC Crosstalk Driven Global Routing Problem. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:115-120 [Conf]
  49. Jason Cong, Yan Zhang
    Thermal-driven multilevel routing for 3-D ICs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:121-126 [Conf]
  50. Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen
    Wave-pipelined on-chip global interconnect. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:127-132 [Conf]
  51. Junpei Inoue, Hiroyuki Ito, Shinichiro Gomi, Takanori Kyogoku, Takumi Uezono, Kenichi Okada, Kazuya Masu
    Evaluation of on-chip transmission line interconnect using wire length distribution. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:133-138 [Conf]
  52. Samar Abdi, Daniel Gajski
    A formalism for functionality preserving system level transformations. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:139-144 [Conf]
  53. KiSeun Kwon, Youngmin Yi, Dohyung Kim, Soonhoi Ha
    Embedded software generation from system level specification for multi-tasking embedded systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:145-150 [Conf]
  54. Youngchul Cho, Sungjoo Yoo, Kiyoung Choi, Nacer-Eddine Zergainoh, Ahmed Amine Jerraya
    Scheduler implementation in MP SoC design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:151-156 [Conf]
  55. G. Chen, Mahmut T. Kandemir
    Optimizing embedded applications using programmer-inserted hints. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:157-160 [Conf]
  56. Dohyung Kim, Soonhoi Ha
    Static analysis and automatic code synthesis of flexible FSM model. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:161-165 [Conf]
  57. Yung-Chieh Lin, Feng Lu, Kai Yang, Kwang-Ting Cheng
    Constraint extraction for pseudo-functional scan-based delay testing. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:166-171 [Conf]
  58. Hafizur Rahaman, Debesh K. Das
    Bridging fault detection in Double Fixed-Polarity Reed-Muller (DFPRM) PLA. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:172-177 [Conf]
  59. Xijiang Lin, Janusz Rajski
    Propagation delay fault: a new fault model to test delay faults. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:178-183 [Conf]
  60. Katherine Shu-Min Li, Chung-Len Lee, Chauchin Su, Jwu E. Chen
    Oscillation ring based interconnect test scheme for SOC. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:184-187 [Conf]
  61. Junhao Shi, Görschwin Fey, Rolf Drechsler
    Bridging fault testability of BDD circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:188-191 [Conf]
  62. Debjit Sinha, Hai Zhou
    Yield driven gate sizing for coupling-noise reduction under uncertainty. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:192-197 [Conf]
  63. Yun-Ru Wu, Ming-Chao Tsai, Ting-Chi Wang
    Maze routing with OPC consideration. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:198-203 [Conf]
  64. Masahiro Murakawa, Mitiko Miura-Mattausch, Tetsuya Higuchi
    Towards automatic parameter extraction for surface-potential-based MOSFET models with the genetic algorithm. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:204-207 [Conf]
  65. Xiren Wang, Wenjian Yu, Zeyi Wang
    Substrate resistance extraction with direct boundary element method. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:208-211 [Conf]
  66. Vineet Agarwal, Navneeth Kankani, Ravishankar Rao, Sarvesh Bhardwaj, Janet Wang
    An efficient combinationality check technique for the synthesis of cyclic combinational circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:212-215 [Conf]
  67. Ke Cao, Puneet Dhawan, Jiang Hu
    Library cell layout with Alt-PSM compliance and composability. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:216-219 [Conf]
  68. Rasit Onur Topaloglu, Alex Orailoglu
    Forward discrete probability propagation method for device performance characterization under process variations. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:220-223 [Conf]
  69. Zhenyu Qi, Sheldon X.-D. Tan, Hao Yu, Lei He
    Wideband modeling of RF/Analog circuits via hierarchical multi-point model order reduction. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:224-229 [Conf]
  70. Huiying Yang, Mukesh Ranjan, Wim Verhaegen, Mengmeng Ding, Ranga Vemuri, Georges G. E. Gielen
    Efficient symbolic sensitivity analysis of analog circuits using element-coefficient diagrams. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:230-235 [Conf]
  71. Xiaochun Duan, Kartikeya Mayaram
    A new approach for ring oscillator simulation using the harmonic balance method. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:236-239 [Conf]
  72. Zhengyong Zhu, Khosro Rouz, Manjit Borah, Chung-Kuan Cheng, Ernest S. Kuh
    Efficient transient simulation for transistor-level analysis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:240-243 [Conf]
  73. Bang Liu, Xuan Zeng, Yangfeng Su, Jun Tao, Zhaojun Bai, Charles Chiang, Dian Zhou
    Block SAPOR: block Second-order Arnoldi method for Passive Order Reduction of multi-input multi-output RCS interconnect circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:244-249 [Conf]
  74. Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen
    Block based statistical timing analysis with extended canonical timing model. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:250-253 [Conf]
  75. Lin Yuan, Gang Qu, Tiziano Villa, Alberto L. Sangiovanni-Vincentelli
    FSM re-engineering and its application in low power state encoding. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:254-259 [Conf]
  76. Aiqun Cao, Ruibing Lu, Cheng-Kok Koh
    Post-layout logic duplication for synthesis of domino circuits with complex gates. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:260-265 [Conf]
  77. Jin S. Zhang, Malgorzata Chrzanowska-Jeske, Alan Mishchenko, Jerry R. Burch
    Detecting support-reducing bound sets using two-cofactor symmetries. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:266-271 [Conf]
  78. Vivek V. Shende, Stephen S. Bullock, Igor L. Markov
    Synthesis of quantum logic circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:272-275 [Conf]
  79. Stephen Plaza, Valeria Bertacco
    STACCATO: disjoint support decompositions from BDDs through symbolic kernels. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:276-279 [Conf]
  80. Oliver Schliebusch, Anupam Chattopadhyay, David Kammler, Gerd Ascheid, Rainer Leupers, Heinrich Meyr, Tim Kogel
    A framework for automated and optimized ASIP implementation supporting multiple hardware description languages. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:280-285 [Conf]
  81. Naoki Tomono, Shunitsu Kohara, Jumpei Uchida, Yuichiro Miyaoka, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
    A processor core synthesis system in IP-based SoC design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:286-291 [Conf]
  82. Koushik Niyogi, Diana Marculescu
    Speed and voltage selection for GALS systems based on voltage/frequency islands. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:292-297 [Conf]
  83. Christian Haubelt, Stephan Otto, Cornelia Grabbe, Jürgen Teich
    A system-level approach to hardware reconfigurable systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:298-301 [Conf]
  84. Zili Shao, Qingfeng Zhuge, Chun Xue, Bin Xiao, Edwin Hsing-Mean Sha
    High-level synthesis for DSP applications using heterogeneous functional units. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:302-304 [Conf]
  85. Yasuo Sato, Shuji Hamada, Toshiyuki Maeda, Atsuo Takatori, Seiji Kajihara
    Evaluation of the statistical delay quality model. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:305-310 [Conf]
  86. Wenjing Rao, Alex Orailoglu, Ramesh Karri
    Fault tolerant nanoelectronic processor architectures. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:311-316 [Conf]
  87. Shireesh Verma, Kiran Ramineni, Ian G. Harris
    An efficient control-oriented coverage metric. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:317-322 [Conf]
  88. Tai-Ying Jiang, Chien-Nan Jimmy Liu, Jing-Yang Jou
    An observability measure to enhance statement coverage metric for proper evaluation of verification completeness. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:323-326 [Conf]
  89. Jin Yang, Avi Puder
    Tightly integrate dynamic verification with formal verification: a GSTE based approach. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:327-330 [Conf]
  90. Satoshi Ono, Patrick H. Madden
    On structure and suboptimality in placement. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:331-336 [Conf]
  91. Pradeep Ramachandaran, Ameya R. Agnihotri, Satoshi Ono, Purushothaman Damodaran, Krishnaswami Srihari, Patrick H. Madden
    Optimal placement by branch-and-price. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:337-342 [Conf]
  92. Puneet Gupta, Andrew B. Kahng, Chul-Hong Park
    Detailed placement for improved depth of focus and CD control. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:343-348 [Conf]
  93. Chen Li 0004, Cheng-Kok Koh, Patrick H. Madden
    Floorplan management: incremental placement for gate sizing and buffer insertion. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:349-354 [Conf]
  94. Yi-Ping You, Chun-Yen Tseng, Yu-Hui Huang, Po-Chiun Huang, TingTing Hwang, Sheng-Yu Hsu
    Low-power techniques for network security processors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:355-360 [Conf]
  95. Chih-Pin Su, Chia-Lung Horng, Chih-Tsun Huang, Cheng-Wen Wu
    A configurable AES processor for enhanced security. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:361-366 [Conf]
  96. Yen-Fong Lee, Shi-Yu Huang, Sheng-Yu Hsu, I-Ling Chen, Cheng-Tao Shieh, Jian-Cheng Lin, Shih-Chieh Chang
    Power estimation starategies for a low-power security processor. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:367-371 [Conf]
  97. Chih-Pin Su, Chen-Hsing Wang, Kuo-Liang Cheng, Chih-Tsun Huang, Cheng-Wen Wu
    Design and test of a scalable security processor. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:372-375 [Conf]
  98. Yung-Chia Lin, Chung-Wen Huang, Jenq Kuen Lee
    System-level design space exploration for security processor prototyping in analytical approaches. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:376-380 [Conf]
  99. Hsinwei Chou, Yu-Hao Wang, Charlie Chung-Ping Chen
    Fast and effective gate-sizing with multiple-Vt assignment using generalized Lagrangian Relaxation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:381-386 [Conf]
  100. Zhaojun Wo, Israel Koren
    Effective analytical delay model for transistor sizing. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:387-392 [Conf]
  101. Kanak Agarwal, Dennis Sylvester, David Blaauw, Anirudh Devgan
    Achieving continuous VT performance in a dual VT process. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:393-398 [Conf]
  102. Dongwoo Lee, David Blaauw, Dennis Sylvester
    Runtime leakage minimization through probability-aware dual-Vt or dual-tox assignment. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:399-404 [Conf]
  103. Lei Cheng, Liang Deng, Martin D. F. Wong
    Floorplanning for 3-D VLSI design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:405-411 [Conf]
  104. Xiaoping Tang, Ruiqi Tian, Martin D. F. Wong
    Optimal redistribution of white space for wire length minimization. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:412-417 [Conf]
  105. Yongseok Cheon, Martin D. F. Wong
    Crowdedness-balanced multilevel partitioning for uniform resource utilization. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:418-423 [Conf]
  106. Ramprasad Ravichandran, Mike Niemier, Sung Kyu Lim
    Partitioning and placement for buildable QCA circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:424-427 [Conf]
  107. Chanseok Hwang, Massoud Pedram
    PMP: performance-driven multilevel partitioning by aggregating the preferred signal directions of I/O conduits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:428-431 [Conf]
  108. Jinbo Huang
    MUP: a minimal unsatisfiability prover. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:432-437 [Conf]
  109. Domagoj Babic, Alan J. Hu
    Integration of supercubing and learning in a SAT solver. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:438-444 [Conf]
  110. Fadi A. Aloul, Arathi Ramani, Igor L. Markov, Karem A. Sakallah
    Dynamic symmetry-breaking for improved Boolean optimization. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:445-450 [Conf]
  111. ShengYu Shen, Ying Qin, Sikun Li
    A fast counterexample minimization approach with refutation analysis and incremental SAT. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:451-454 [Conf]
  112. Wei Huang, Pushan Tang, Min Ding
    Sequential equivalence checking using cuts. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:455-458 [Conf]
  113. Xiaolue Lai, Yayun Wan, Jaijeet S. Roychowdhury
    Fast PLL simulation using nonlinear VCO macromodels for accurate prediction of jitter and cycle-slipping due to loop non-idealities and supply noise. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:459-464 [Conf]
  114. Fang Liu, Sule Ozev
    Hierarchical analysis of process variation for mixed-signal systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:465-470 [Conf]
  115. Xuan Zeng, Bank Liu, Jun Tao, Charles Chiang, Dian Zhou
    A novel wavelet method for noise analysis of nonlinear circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:471-476 [Conf]
  116. Mengmeng Ding, Glenn Wolfe, Ranga Vemuri
    An error-driven adaptive grid refinement algorithm for automatic generation of analog circuit performance macromodels. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:477-482 [Conf]
  117. Yu Du, Wayne Dai
    Partial reluctance based circuit simulation is efficient and stable. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:483-488 [Conf]
  118. Krishnan Srinivasan, Karam S. Chatha
    SAGA: synthesis technique for guaranteed throughput NoC architectures. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:489-494 [Conf]
  119. Sudeep Pasricha, Nikil Dutt, Mohamed Ben-Romdhane
    Automated throughput-driven synthesis of bus-based communication architectures. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:495-498 [Conf]
  120. Jae-Gon Lee, Wooseung Yang, Young-Su Kwon, Young-Il Kim, Chong-Min Kyung
    Simulation acceleration of transaction-level models for SoC with RTL sub-blocks. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:499-502 [Conf]
  121. Mridul Agarwal, Kanak Agarwal, Dennis Sylvester, David Blaauw
    Statistical modeling of cross-coupling effects in VLSI interconnects. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:503-506 [Conf]
  122. Hong Li, Venkataramanan Balakrishnan, Cheng-Kok Koh, Guoan Zhong
    Compact and stable modeling of partial inductance and reluctance matrices. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:507-510 [Conf]
  123. Rami Beidas, Jianwen Zhu
    Scalable interprocedural register allocation for high level synthesis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:511-516 [Conf]
  124. Azadeh Davoodi, Ankur Srivastava
    Simultaneous floorplanning and resource binding: a probabilistic approach. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:517-522 [Conf]
  125. Anup Hosangadi, Farzan Fallah, Ryan Kastner
    Reducing hardware complexity of linear DSP systems by iteratively eliminating two-term common subexpressions. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:523-528 [Conf]
  126. René Krenz, Elena Dubrova
    A fast algorithm for finding common multiple-vertex dominators in circuit graphs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:529-532 [Conf]
  127. Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh
    Low-power domino circuits using NMOS pull-up on off-critical paths. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:533-538 [Conf]
  128. Shengqi Yang, Wayne Wolf, Wenping Wang, Narayanan Vijaykrishnan, Yuan Xie
    Low-leakage robust SRAM cell design for sub-100nm technologies. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:539-544 [Conf]
  129. Ismail Kadayif, Mahmut T. Kandemir, Guilin Chen
    Studying interactions between prefetching and cache line turnoff. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:545-548 [Conf]
  130. Wei Han, Ahmet T. Erdogan, Tughrul Arslan, M. Hasan
    The development of high performance FFT IP cores through hybrid low power algorithmic methodology. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:549-552 [Conf]
  131. Newton Cheung, Sri Parameswaran, Jörg Henkel
    Battery-aware instruction generation for embedded processors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:553-556 [Conf]
  132. Masanori Muroyama, Kosuke Tarumi, Koji Makiyama, Hiroto Yasuura
    A variation-aware low-power coding methodology for tightly coupled buses. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:557-560 [Conf]
  133. Dong Wang, Jeremy R. Levitt
    Automatic assume guarantee analysis for assertion-based formal verification. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:561-566 [Conf]
  134. Pejman Lotfi-Kamran, Mohammad Hosseinabady, Hamid Shojaei, Mehran Massoumi, Zainalabedin Navabi
    TED+: a data structure for microprocessor verification. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:567-572 [Conf]
  135. René Krenz, Elena Dubrova
    Improved Boolean function hashing based on multiple-vertex dominators. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:573-578 [Conf]
  136. Rüdiger Ebendt, Rolf Drechsler
    Lower bounds for dynamic BDD reordering. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:579-582 [Conf]
  137. Xiushan Feng, Alan J. Hu, Jin Yang
    Partitioned model checking from software specifications. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:583-587 [Conf]
  138. Yongqiang Lu, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu
    Register placement for low power clock network. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:588-593 [Conf]
  139. Ganesh Venkataraman, Cliff C. N. Sze, Jiang Hu
    Skew scheduling and clock routing for improved tolerance to process variations. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:594-599 [Conf]
  140. Vinil Varghese, Tom Chen, Peter Young
    Stability analysis of active clock deskewing systems using a control theoretic approach. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:600-605 [Conf]
  141. Wai-Ching Douglas Lam, Cheng-Kok Koh
    Process variation robust clock tree routing. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:606-611 [Conf]
  142. Nacer-Eddine Zergainoh, Katalin Popovici, Ahmed Amine Jerraya, Pascal Urard
    IP-block-based design environment for high-throughput VLSI dedicated digital signal processing systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:612-618 [Conf]
  143. Kazutoshi Kobayashi, Masao Aramoto, Yoichi Yuyama, Akihiko Higuchi, Hidetoshi Onodera
    A resource-shared VLIW processor architecture for area-efficient on-chip multiprocessing. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:619-622 [Conf]
  144. Lingfeng Li, Satoshi Goto, Takeshi Ikenaga
    An efficient deblocking filter architecture with 2-dimensional parallel memory for H.264/AVC. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:623-626 [Conf]
  145. Yanjun Zhang, Hu He, Yihe Sun
    A new register file access architecture for software pipelining in VLIW processors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:627-630 [Conf]
  146. Minho Kim, Ingu Hwang, Soo-Ik Chae
    A fast VLSI architecture for full-search variable block size motion estimation in MPEG-4 AVC/H.264. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:631-634 [Conf]
  147. Ying Yi, Mark Milward, Sami Khawam, Ioannis Nousias, Tughrul Arslan
    Automatic synthesis and scheduling of multirate DSP algorithms. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:635-638 [Conf]
  148. Zhenyu Liu, Tughrul Arslan, Sami Khawam, Iain Lindsay
    A high performance synthesisable unsymmetrical reconfigurable fabric for heterogeneous finite state machines. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:639-644 [Conf]
  149. Yan Lin, Fei Li, Lei He
    Routing track duplication with fine-grained power-gating for FPGA interconnect power reduction. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:645-650 [Conf]
  150. Rajarshee P. Bharadwaj, Rajan Konar, Poras T. Balsara, Dinesh Bhatia
    Exploiting temporal idleness to reduce leakage power in programmable architectures. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:651-656 [Conf]
  151. Vijay Degalahal, Tim Tuan
    Methodology for high level estimation of FPGA power consumption. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:657-660 [Conf]
  152. Suresh Srinivasan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan
    Leakage control in FPGA routing fabric. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:661-664 [Conf]
  153. K. Praveen Jayakar Thomas, Ram Singh Rana, Yong Lian
    A 1GHz CMOS fourth-order continuous-time bandpass sigma delta modulator for RF receiver front end A/D conversion. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:665-670 [Conf]
  154. Min Chu, David J. Allstot
    An elitist distributed particle swarm algorithm for RF IC optimization. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:671-674 [Conf]
  155. Min Chu, David J. Allstot
    Phase-locked loop synthesis using hierarchical divide-and-conquer multi-optimization. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:675-678 [Conf]
  156. Miao Li, Tad A. Kwasniewski, Shoujun Wang, Yuming Tao
    A 10Gb/s transmitter with multi-tap FIR pre-emphasis in 0.18µm CMOS technology. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:679-682 [Conf]
  157. Kenichi Okada, Yoshiaki Yoshihara, Hirotaka Sugawara, Kazuya Masu
    A dynamic reconfigurable RF circuit architecture. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:683-686 [Conf]
  158. Zhangwen Tang, Jie He, Hongyan Jian, Haiqing Zhang, Jie Zhang, Hao Min
    Prediction of LC-VCOs' tuning curves with period calculation technique. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:687-690 [Conf]
  159. Zhihui Xiong, Jihua Chen, Sikun Li
    Hardware/software partitioning for platform-based design method. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:691-696 [Conf]
  160. Ernesto Wandeler, Lothar Thiele
    Abstracting functionality for modular performance analysis of hard real-time systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:697-702 [Conf]
  161. Dongkun Shin, Jihong Kim
    Optimizing intra-task voltage scheduling using data flow analysis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:703-708 [Conf]
  162. John Conner, Yuan Xie, Mahmut T. Kandemir, Robert Dick, Greg M. Link
    FD-HGAC: a hybrid heuristic/genetic algorithm hardware/software co-synthesis framework with fault detection. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:709-712 [Conf]
  163. G. Chen, Mahmut T. Kandemir, Mary Jane Irwin, Gokhan Memik
    Compiler-directed selective data protection against soft errors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:713-716 [Conf]
  164. Prashant Saxena, Kumar N. Lalgudi, Hans J. Greub, Janet Meiling Wang Roveda
    A perturbation-aware noise convergence methodology for high frequency microprocessors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:717-722 [Conf]
  165. Takashi Sato, Masanori Hashimoto, Hidetoshi Onodera
    Successive pad assignment algorithm to optimize number and location of power supply pad using incremental matrix inversion. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:723-728 [Conf]
  166. Raid Ayoub, Alex Orailoglu
    A unified transformational approach for reductions in fault vulnerability, power, and crosstalk noise & delay on processor buses. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:729-734 [Conf]
  167. Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan
    VLSI on-chip power/ground network optimization considering decap leakage currents. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:735-738 [Conf]
  168. Jinjun Xiong, Lei He
    Probabilistic congestion model considering shielding for crosstalk reduction. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:739-742 [Conf]
  169. Ozcan Ozturk, Mahmut T. Kandemir, G. Chen, Mary Jane Irwin, Mustafa Karaköy
    Customized on-chip memories for embedded chip multiprocessors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:743-748 [Conf]
  170. Rutuparna Tamhankar, Srinivasan Murali, Giovanni De Micheli
    Performance driven reliable link design for networks on chips. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:749-754 [Conf]
  171. Yuvraj Agarwal, Curt Schurgers, Rajesh Gupta
    Dynamic power management using on demand paging for networked embedded systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:755-759 [Conf]
  172. Lei Yang, Manyuan Shen, Hui Liu, C.-J. Richard Shi
    An FPGA implementation of low-density parity-check code decoder with multi-rate capability. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:760-763 [Conf]
  173. Xiao Yong, Zhou Runde
    Single-track asynchronous pipeline controller design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:764-768 [Conf]
  174. Mahmut T. Kandemir, Guangyu Chen, Feihui Li, I. Demirkiran
    Using data replication to reduce communication energy on chip multiprocessors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:769-772 [Conf]
  175. Cristinel Ababei, Hushrav Mogal, Kia Bazargan
    Three-dimensional place and route for FPGAs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:773-778 [Conf]
  176. Wai-Kei Mak
    Modern FPGA constrained placement. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:779-784 [Conf]
  177. Chang Woo Kang, Massoud Pedram
    Clustering techniques for coarse-grained, antifuse FPGAs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:785-790 [Conf]
  178. Vivek Garg, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti
    A novel CLB architecture and circuit packing algorithm for logic-area reduction in SRAM-based FPGAs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:791-794 [Conf]
  179. Somsubhra Mondal, Seda Ogrenci Memik
    Resource sharing in pipelined CDFG synthesis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:795-798 [Conf]
  180. Hong Zhang, Guican Chen, Ning Li
    A 2.4-GHz linear-tuning CMOS LC voltage-controlled oscillator. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:799-802 [Conf]
  181. Guoqiang Hang
    Adiabatic CMOS gate and adiabatic circuit design for low-power applications. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:803-808 [Conf]
  182. Osamu Matsumoto, Hisashi Harada, Yasuo Morimoto, Toshio Kumamoto, Takahiro Miki, Masao Hotta
    An 11-bit 160-MS/s 1.35-V 10-mW D/A converter using automated device sizing system. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:809-814 [Conf]
  183. Chen Hai, Wu Xiaobo
    A class D audio power amplifier with high-efficiency and low-distortion. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:815-818 [Conf]
  184. Grzegorz Blakiewicz, Marcin Jeske, Malgorzata Chrzanowska-Jeske, Jin S. Zhang
    Substrate noise modeling in early floorplanning of MS-SOCs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:819-823 [Conf]
  185. Shu Xiao, Edmund Ming-Kit Lai
    Instruction scheduling of VLIW architectures for balanced power consumption. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:824-829 [Conf]
  186. Shaoxiong Hua, Gang Qu
    Power minimization techniques on distributed real-time systems by global and local slack management. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:830-835 [Conf]
  187. Jaewon Seo, Nikil D. Dutt
    A generalized technique for energy-efficient operating voltage set-up in dynamic voltage scaled processors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:836-841 [Conf]
  188. Van R. Culver, Sunil P. Khatri
    A dynamic voltage scaling algorithm for energy reduction in hard real-time systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:842-845 [Conf]
  189. Jianli Zhuo, Chaitali Chakrabarti
    An efficient dynamic task scheduling algorithm for battery powered DVS systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:846-849 [Conf]
  190. Deming Chen, Jason Cong, Junjuan Xu
    Optimal module and voltage assignment for low-power. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:850-855 [Conf]
  191. Jason Cong, Yiping Fan, Guoling Han, Yizhou Lin, Junjuan Xu, Zhiru Zhang, Xu Cheng
    Bitwidth-aware scheduling and binding in high-level synthesis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:856-861 [Conf]
  192. Tsuang-Wei Chang, TingTing Hwang, Sheng-Yu Hsu
    Functionality directed clustering for low power MTCMOS design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:862-867 [Conf]
  193. Azadeh Davoodi, Ankur Srivastava
    Wake-up protocols for controlling current surges in MTCMOS-based technology. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:868-871 [Conf]
  194. Hsueh-Chih Yang, Lan-Rong Dung
    On multiple-voltage high-level synthesis using algorithmic transformations. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:872-876 [Conf]
  195. Jong-Chul Lim, Hye-Seung Yu, Jae-Suk Choi, Soo-Won Kim
    An advanced bit-line clamping scheme in magnetic RAM for wide sensing margin. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:877-882 [Conf]
  196. Haikun Zhu, Chung-Kuan Cheng, Ronald L. Graham
    Constructing zero-deficiency parallel prefix adder of minimum depth. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:883-888 [Conf]
  197. Zhangwen Tang, Jie He, Hongyan Jian, Hao Min
    An accurate 1.08-GHz CMOS LC voltage-controlled oscillator. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:889-892 [Conf]
  198. Anru Wang, Wayne Wei-Ming Dai
    Area-IO DRAM/logic integration with system-in-a-package (SiP). [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:893-896 [Conf]
  199. Shuguang Gong, Huawei Li, Yufeng Xu, Tong Liu, Xiaowei Li
    Design of an efficient memory subsystem for network processor. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:897-900 [Conf]
  200. Zhenxin Sun, Weng-Fai Wong, Yongxin Zhu, Santhosh Kumar Pilakkat
    Design of clocked circuits using UML. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:901-904 [Conf]
  201. Vivek Garg, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti
    A function generator-based reconfigurable system. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:905-909 [Conf]
  202. Hongbing Fan, Yu-Liang Wu
    Crossbar based design schemes for switch boxes and programmable interconnection networks. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:910-915 [Conf]
  203. Cheng Zhan, Tughrul Arslan, Sami Khawam, Iain Lindsay
    A domain specific reconfigurable Viterbi fabric for system-on-chip applications. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:916-919 [Conf]
  204. Chu Chao, Zhang Qin, Xie Yingke, Han Chengde
    Design of a high performance FFT processor based on FPGA. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:920-923 [Conf]
  205. Guangyu Chen, Feihui Li, Mahmut T. Kandemir, I. Demirkiran
    Increasing FPGA resilience against soft errors using task duplication. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:924-927 [Conf]
  206. Gaurav Mittal, David Zaretsky, Gokhan Memik, Prith Banerjee
    Automatic extraction of function bodies from software binaries. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:928-931 [Conf]
  207. Chen Xi, Lu JianHua, Zhou Zucheng, Shang YaoHui
    Modeling SystemC design in UML and automatic code generation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:932-935 [Conf]
  208. M. AbdElSalam Hassan, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai
    Enabling RTOS simulation modeling in a system level design language. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:936-939 [Conf]
  209. Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Davide Patti
    A system-level framework for evaluating area/performance/power trade-offs of VLIW-based embedded systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:940-943 [Conf]
  210. Lukai Cai, Andreas Gerstlauer, Daniel Gajski
    Multi-metric and multi-entity characterization of applications for early system design exploration. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:944-947 [Conf]
  211. Yongxin Zhu, Weng-Fai Wong, Stefan Andrei
    An integrated performance and power model for superscalar processor designs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:948-951 [Conf]
  212. Zhe Ma, Francky Catthoor, Johan Vounckx
    Hierarchical task scheduler for interleaving subtasks on heterogeneous multiprocessor platforms. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:952-955 [Conf]
  213. Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel
    A flexible framework for communication evaluation in SoC design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:956-959 [Conf]
  214. Zhonghai Lu, Axel Jantsch, Ingo Sander
    Feasibility analysis of messages for on-chip networks using wormhole routing. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:960-964 [Conf]
  215. Junyu Peng, Samar Abdi, Daniel Gajski
    A clustering technique to optimize hardware/software synchronization. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:965-968 [Conf]
  216. Aimen Bouchhima, Iuliana Bacivarov, Wassim Youssef, Marius Bonaciu, Ahmed Amine Jerraya
    Using abstract CPU subsystem simulation model for high level HW/SW architecture exploration. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:969-972 [Conf]
  217. Chunhui Zhang, Fadi J. Kurdahi
    On combining iteration space tiling with data space tiling for scratch-pad memory systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:973-976 [Conf]
  218. Zoran A. Salcic, Dong Hui, Partha S. Roop, Morteza Biglari-Abhari
    REMIC: design of a reactive embedded microprocessor core. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:977-981 [Conf]
  219. Thilo Streichert, Christian Haubelt, Jürgen Teich
    Online hardware/software partitioning in networked embedded systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:982-985 [Conf]
  220. Lisane B. de Brisolara, Leandro Buss Becker, Luigi Carro, Flávio Rech Wagner, Carlos Eduardo Pereira, Ricardo Reis
    Comparing high-level modeling approaches for embedded system design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:986-989 [Conf]
  221. Hai Zhou
    Deriving a new efficient algorithm for min-period retiming. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:990-993 [Conf]
  222. Kuo-Hua Wang, Jia-Hung Chen
    K-disjointness paradigm with application to symmetry detection for incompletely specified functions. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:994-997 [Conf]
  223. Petra Färm, Elena Dubrova, Andreas Kuehlmann
    Logic optimization using rule-based randomized search. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:998-1001 [Conf]
  224. Guowu Yang, Xiaoyu Song, William N. N. Hung, Marek A. Perkowski
    Fast synthesis of exact minimal reversible circuits using group theory. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1002-1005 [Conf]
  225. Cheng-Hung Lin, Yung-Chang Huang, Shih-Chieh Chang, Wen-Ben Jone
    Design and design automation of rectification logic for engineering change. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1006-1009 [Conf]
  226. Tzyy-Kuen Tien, Chih-Shen Tsai, Shih-Chieh Chang, Chingwei Yeh
    Power minimization for dynamic PLAs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1010-1013 [Conf]
  227. Shuo Zhou, Bo Yao, Jianhua Liu, Chung-Kuan Cheng
    Integrated algorithmic logical and physical design of integer multiplier. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1014-1017 [Conf]
  228. Rafael Ruiz-Sautua, María C. Molina, José M. Mendías, Román Hermida
    Arrival time aware scheduling to minimize clock cycle length. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1018-1021 [Conf]
  229. W. B. Toms, David A. Edwards
    Efficient synthesis of speed-independent combinational logic circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1022-1026 [Conf]
  230. Peter Suaris, Dongsheng Wang, Nan-Chi Chou
    A practical cut-based physical retiming algorithm for field programmable gate arrays. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1027-1030 [Conf]
  231. Dennis Wu, Jianwen Zhu
    BDD-based two variable sharing extraction. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1031-1034 [Conf]
  232. Eduard Cerny, Ashvin Dsouza, Kevin Harer, Pei-Hsin Ho, Hi-Keung Tony Ma
    Supporting sequential assumptions in hybrid verification. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1035-1038 [Conf]
  233. Tun Li, Dan Zhu, Lei Liang, Yang Guo, Sikun Li
    Automatic functional test program generation for microprocessor verification. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1039-1042 [Conf]
  234. Georgios Logothetis
    Forward symbolic model checking for real time systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1043-1046 [Conf]
  235. Yinlei Yu, Sharad Malik
    Validating the result of a Quantified Boolean Formula (QBF) solver: theory and practice. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1047-1051 [Conf]
  236. Hao Shen, Yuzhuo Fu
    Priority directed test generation for functional verification using neural networks. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1052-1055 [Conf]
  237. Miroslav N. Velev
    Comparison of schemes for encoding unobservability in translation to SAT. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1056-1059 [Conf]
  238. Guowu Yang, Jin Yang, William N. N. Hung, Xiaoyu Song
    Implication of assertion graphs in GSTE. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1060-1063 [Conf]
  239. Qing Xu, Carl Tropper
    XTW, a parallel and distributed logic simulator. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1064-1069 [Conf]
  240. Rong Jiang, Charlie Chung-Ping Chen
    Comprehensive frequency dependent interconnect extraction and evaluation methodology. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1070-1073 [Conf]
  241. Takashi Sato, Junji Ichimiya, Nobuto Ono, Kotaro Hachiya, Masanori Hashimoto
    On-chip thermal gradient analysis and temperature flattening for SoC design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1074-1077 [Conf]
  242. Akira Tsuchiya, Masanori Hashimoto, Hidetoshi Onodera
    Return path selection for loop RL extraction. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1078-1081 [Conf]
  243. Natalie Nakhla, Ramachandra Achar, Michel S. Nakhla, Anestis Dounavis
    Delay extraction based closed-form SPICE compatible passive macromodels for distributed transmission line interconnects. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1082-1085 [Conf]
  244. Yongjun Xu, Jinghua Chen, Zuying Luo, Xiaowei Li
    Vector extraction for average total power estimation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1086-1089 [Conf]
  245. Yici Cai, Zhu Pan, Sheldon X.-D. Tan, Xianlong Hong, Wenting Hou, Lifeng Wu
    Relaxed hierarchical power/ground grid analysis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1090-1093 [Conf]
  246. Anand Ramalingam, Bin Zhang, Anirudh Devgan, David Z. Pan
    Sleep transistor sizing using timing criticality and temporal currents. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1094-1097 [Conf]
  247. Masanori Hashimoto, Junji Yamaguchi, Takashi Sato, Hidetoshi Onodera
    Timing analysis considering temporal supply voltage fluctuation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1098-1101 [Conf]
  248. G. Peter Fang, David C. Yeh, David T. Zweidinger, Lawrence A. Arledge Jr., Vinod Gupta
    Fast, accurate MOS table model for circuit simulation using an unstructured grid and preserving monotonicity. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1102-1106 [Conf]
  249. Chiu-Wing Sham, Evangeline F. Y. Young
    Congestion prediction in floorplanning. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1107-1110 [Conf]
  250. Gang Xu, Ruiqi Tian, David Z. Pan, Martin D. F. Wong
    CMP aware shuttle mask floorplanning. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1111-1114 [Conf]
  251. Renshen Wang, Sheqin Dong, Xianlong Hong
    An improved P-admissible floorplan representation based on Corner Block List. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1115-1118 [Conf]
  252. Jason Cong, Michail Romesis, Joseph R. Shinnerl
    Fast floorplanning by look-ahead enabled recursive bipartitioning. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1119-1122 [Conf]
  253. Jun Yuan, Sheqin Dong, Xianlong Hong, Yuliang Wu
    LFF algorithm for heterogeneous FPGA floorplanning. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1123-1126 [Conf]
  254. Mongkol Ekpanyapong, Michael B. Healy, Sung Kyu Lim
    Placement for configurable dataflow architecture. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1127-1130 [Conf]
  255. Karthik Balakrishnan, Vidit Nanda, Siddharth Easwar, Sung Kyu Lim
    Wire congestion and thermal aware 3D global placement. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1131-1134 [Conf]
  256. Jai-Ming Lin, Guang-Ming Wu, Yao-Wen Chang, Jen-Hui Chuang
    Placement with symmetry constraints for analog layout design using TCG-S. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1135-1137 [Conf]
  257. Qingzhou (Ben) Wang, John Lillis, Shubhankar Sanyal
    An LP-based methodology for improved timing-driven placement. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1139-1143 [Conf]
  258. Chuck J. Alpert, Gi-Joon Nam, Paul Villarribua, Mehmet Can Yildiz
    Placement stability metrics. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1144-1147 [Conf]
  259. Gang Xu, Li-Da Huang, David Z. Pan, Martin D. F. Wong
    Redundant-via enhanced maze routing for yield improvement. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1148-1151 [Conf]
  260. Jia Wang, Hai Zhou
    Interconnect estimation without packing via ACG floorplans. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1152-1155 [Conf]
  261. Di Wu, Jiang Hu, Min Zhao, Rabi N. Mahapatra
    Timing driven track routing considering coupling capacitance. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1156-1159 [Conf]
  262. Tai-Chen Chen, Yao-Wen Chang
    Multilevel full-chip gridless routing considering optical proximity correction. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1160-1163 [Conf]
  263. Ruibing Lu, Aiqun Cao, Cheng-Kok Koh
    Improving the scalability of SAMBA bus architecture. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1164-1167 [Conf]
  264. Jeng-Liang Tsai, Charlie Chung-Ping Chen
    Process-variation robust and low-power zero-skew buffered clock-tree synthesis using projected scan-line sampling. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1168-1171 [Conf]
  265. Ho Fai Ko, Qiang Xu, Nicola Nicolici
    Register-transfer level functional scan for hierarchical designs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1172-1175 [Conf]
  266. Yu Huang, Wu-Tung Cheng, Greg Crowell
    Using fault model relaxation to diagnose real scan chain defects. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1176-1179 [Conf]
  267. Baosheng Wang, Josh Yang, Yuejian Wu, André Ivanov
    A retention-aware test power model for embedded SRAM. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1180-1183 [Conf]
  268. Chih-Feng Li, Shao-Sheng Yang, Tsin-Yuan Chang
    On-chip accumulated jitter measurement for phase-locked loops. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1184-1187 [Conf]
  269. Jen-Yi Wuu, Tung-Chieh Chen, Yao-Wen Chang
    SoC test scheduling using the B-tree based floorplanning technique. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1188-1191 [Conf]
  270. Tongquan Wei, Kaijie Wu, Ramesh Karri, Alex Orailoglu
    Fault tolerant quantum cellular array (QCA) design using Triple Modular Redundancy with shifted operands. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1192-1195 [Conf]
  271. Ying Chen, Dennis Abts, David J. Lilja
    Efficiently generating test vectors with state pruning. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1196-1199 [Conf]
  272. E. Syam Sundar Reddy, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti, Narayanan Vijaykrishnan
    Cluster-based detection of SEU-caused errors in LUTs of SRAM-based FPGAs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1200-1203 [Conf]
  273. Dong Feng, Bingxue Shi
    Comprehensive analysis and optimization of CMOS LNA noise performance. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1204-1207 [Conf]
  274. Jung-Hyun Cho, Suk-Byung Chai, Chung-Gi Song, Kyung-Won Min, Shiho Kim
    An analog front-end IP for 13.56MHz RFID interrogators. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1208-1211 [Conf]
  275. Ali Zahabi, Omid Shoaei, Yarallah Koolivand, Parviz Jabedar-Maralani
    A two-stage genetic algorithm method for optimization the Sigma-Delta modulators. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1212-1215 [Conf]
  276. Gong Qian, Yuan Guo-shun
    A novel differential VCO circuit design for USB Hub. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1216-1219 [Conf]
  277. M. S. Bhat, H. S. Jamadagni
    Static power minimization in current-mode circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1220-1223 [Conf]

  278. A novel transmitter for 1000Base-T physical transceiver. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1224-1227 [Conf]
  279. Yongjian Tang, Lenian He, Xiaolang Yan
    A novel data processing circuit in high-speed serial communication. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1228-1231 [Conf]
  280. Ziqiang Wang, Baoyong Chi, Min Lin, Shuguang Han, Lu Liu, Jinke Yao, Zhihua Wang
    A monolithic CMOS L band DAB receiver. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1232-1235 [Conf]
  281. Yonggang Tao, Yongsheng Xu, Wei Jin, Hui Yu, Zongsheng Lai
    A bipolar IF amplifier/RSSI for ASK receiver. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1236-1239 [Conf]
  282. Rajarshi Mukherjee, Seda Ogrenci Memik
    Evaluation of dual VDD fabrics for low power FPGAs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1240-1243 [Conf]
  283. Jae-Jin Lee, Gi-Yong Song
    Design of an application-specific PLD architecture. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1244-1247 [Conf]
  284. Mitsuru Tomono, Masaki Nakanishi, Katsumasa Watanabe, Shigeru Yamashita
    Event-oriented computing with reconfigurable platform. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1248-1251 [Conf]
  285. Kazunori Shimizu, Nozomu Togawa, Takeshi Ikenaga, Satoshi Goto
    Reconfigurable adaptive FEC system with interleaving. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1252-1255 [Conf]
  286. Adeoye Olugbon, Sami Khawam, Tughrul Arslan, Ioannis Nousias, Iain Lindsay
    An AMBA AHB-based reconfigurable SOC architecture using multiplicity of dedicated flyby DMA blocks. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1256-1259 [Conf]
  287. Xin Jia, Ranga Vemuri
    Using GALS architecture to reduce the impact of long wire delay on FPGA performance. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1260-1263 [Conf]
  288. Tiejun Li, Sikun Li, Cheng-Dong Shen
    A novel configurable motion estimation architecture for high-efficiency MPEG-4/H.264 encoding. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1264-1267 [Conf]
  289. Chang Hoon Kim, Soonhak Kwon, Chun Pyo Hong
    A fast digit-serial systolic multiplier for finite field GF(2m). [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1268-1271 [Conf]
  290. Zhu Xiangbin, Shi-liang Tu
    Adaptive fuzzy control scheduling of window-constrained real-time systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1272-1275 [Conf]
  291. Bo Shen, Junhua Tian, Zheng Li, Jianing Su, Qianling Zhang
    A high performance QAM receiver for digital cable TV with integrated A/D and FEC decoder. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1276-1279 [Conf]
  292. Lin Xie, Peiliang Qiu, Qinru Qiu
    Partitioned bus coding for energy reduction. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1280-1283 [Conf]
  293. Yanju Han, Chao Xu, Yizhen Zhang
    An improved bit-plane and pass dual parallel architecture for coefficient bit modeling in JPEG2000. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1284-1287 [Conf]
  294. Yi-Ran Sun, Svante Signell
    A generalized quadrature bandpass sampling in radio receivers. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1288-1291 [Conf]
  295. Xin Lu, Yuzhuo Fu
    Reducing leakage power in instruction cache using WDC for embedded processors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1292-1295 [Conf]
  296. Qiang Wu, Jinian Bian, Hongxi Xue
    System-level architectural exploration using allocation-on-demand technique. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1296-1298 [Conf]
  297. Pooya Torkzadeh, Armin Tajalli, Seyed Mojtaba Atarodi
    A fractional delay-locked loop for on chip clock generation applications. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1300-1309 [Conf]
  298. Jaehwan John Lee, Vincent John Mooney III
    A novel O(n) parallel banker's algorithm for System-on-a-Chip. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1304-1308 [Conf]
  299. Zhihui Xiong, Sikun Li, Jihua Chen
    Hardware/software co-design using hierarchical platform-based design method. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1309-1312 [Conf]
  300. Yan Zhang
    Architecture and performance comparison of a statistic-based lottery arbiter for shared bus on chip. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1313-1316 [Conf]
  301. Sri Hari Krishna Narayanan, Seung Woo Son, Mahmut T. Kandemir, Feihui Li
    Using loop invariants to fight soft errors in data caches. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2005, pp:1317-1320 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002